112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test03.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-03-22
2512855Sgabeblack@google.com                   Ucar Aziz, Synopsys, Inc.
2612855Sgabeblack@google.com
2712855Sgabeblack@google.com *****************************************************************************/
2812855Sgabeblack@google.com
2912855Sgabeblack@google.com/*****************************************************************************
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3212855Sgabeblack@google.com  changes you are making here.
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com      Name, Affiliation, Date:
3512855Sgabeblack@google.com  Description of Modification:
3612855Sgabeblack@google.com
3712855Sgabeblack@google.com *****************************************************************************/
3812855Sgabeblack@google.com
3912855Sgabeblack@google.com// $Log: test03.cpp,v $
4012855Sgabeblack@google.com// Revision 1.1.1.1  2006/12/15 20:26:04  acg
4112855Sgabeblack@google.com// systemc_tests-2.3
4212855Sgabeblack@google.com//
4312855Sgabeblack@google.com// Revision 1.2  2006/01/19 00:47:04  acg
4412855Sgabeblack@google.com// Andy Goodrich: Added CVS logging.
4512855Sgabeblack@google.com//
4612855Sgabeblack@google.com
4712855Sgabeblack@google.com// test of sc_sensitive_pos::operator(<<)()(sc_inout<bool>)
4812855Sgabeblack@google.com
4912855Sgabeblack@google.com#include "systemc.h"
5012855Sgabeblack@google.com
5112855Sgabeblack@google.comSC_MODULE( mod_a )
5212855Sgabeblack@google.com{
5312855Sgabeblack@google.com    sc_in<bool> in1;
5412855Sgabeblack@google.com    sc_in<bool> in2;
5512855Sgabeblack@google.com
5612855Sgabeblack@google.com    void main_action1()
5712855Sgabeblack@google.com    {
5812855Sgabeblack@google.com	int i = 0;
5912855Sgabeblack@google.com	while( true ) {
6012855Sgabeblack@google.com	    wait();
6112855Sgabeblack@google.com	    cout << "i = " << i << endl;
6212855Sgabeblack@google.com	    i ++;
6312855Sgabeblack@google.com	}
6412855Sgabeblack@google.com    }
6512855Sgabeblack@google.com
6612855Sgabeblack@google.com    void main_action2()
6712855Sgabeblack@google.com    {
6812855Sgabeblack@google.com	int j = 0;
6912855Sgabeblack@google.com	while( true ) {
7012855Sgabeblack@google.com	    wait();
7112855Sgabeblack@google.com	    cout << "j = " << j << endl;
7212855Sgabeblack@google.com	    j ++;
7312855Sgabeblack@google.com	}
7412855Sgabeblack@google.com    }
7512855Sgabeblack@google.com
7612855Sgabeblack@google.com    SC_CTOR( mod_a )
7712855Sgabeblack@google.com    {
7812855Sgabeblack@google.com	SC_THREAD( main_action1 );
7912855Sgabeblack@google.com	sensitive_pos( in1 );
8012855Sgabeblack@google.com	SC_THREAD( main_action2 );
8112855Sgabeblack@google.com	sensitive_pos << in2;
8212855Sgabeblack@google.com    }
8312855Sgabeblack@google.com};
8412855Sgabeblack@google.com
8512855Sgabeblack@google.comSC_MODULE( mod_b )
8612855Sgabeblack@google.com{
8712855Sgabeblack@google.com    sc_in<bool>    clk;
8812855Sgabeblack@google.com    sc_inout<bool> in1;
8912855Sgabeblack@google.com
9012855Sgabeblack@google.com    void main_action()
9112855Sgabeblack@google.com    {
9212855Sgabeblack@google.com	bool j = true;
9312855Sgabeblack@google.com	while( true ) {
9412855Sgabeblack@google.com	    wait();
9512855Sgabeblack@google.com	    in1->write( j );
9612855Sgabeblack@google.com	    j = !j;
9712855Sgabeblack@google.com	}
9812855Sgabeblack@google.com    }
9912855Sgabeblack@google.com
10012855Sgabeblack@google.com    SC_CTOR( mod_b )
10112855Sgabeblack@google.com    {
10212855Sgabeblack@google.com	SC_CTHREAD( main_action, clk );
10312855Sgabeblack@google.com    }
10412855Sgabeblack@google.com};
10512855Sgabeblack@google.com
10612855Sgabeblack@google.comint
10712855Sgabeblack@google.comsc_main( int, char*[] )
10812855Sgabeblack@google.com{
10912855Sgabeblack@google.com    sc_clock clk1( "clk", 5, SC_NS );
11012855Sgabeblack@google.com    sc_clock clk2( "clk1", 5, SC_NS );
11112855Sgabeblack@google.com    sc_signal<bool> sig_1;
11212855Sgabeblack@google.com    sc_signal<bool> sig_2;
11312855Sgabeblack@google.com    mod_a a( "a" );
11412855Sgabeblack@google.com    mod_b b1( "b1" );
11512855Sgabeblack@google.com    mod_b b2( "b2" );
11612855Sgabeblack@google.com
11712855Sgabeblack@google.com    b1.clk( clk1 );
11812855Sgabeblack@google.com    b1.in1( sig_1 );
11912855Sgabeblack@google.com    b2.clk( clk2 );
12012855Sgabeblack@google.com    b2.in1( sig_2 );
12112855Sgabeblack@google.com
12212855Sgabeblack@google.com    a.in1( sig_1 );
12312855Sgabeblack@google.com    a.in2( sig_2 );
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com    sc_start( 100, SC_NS );
12612855Sgabeblack@google.com
12712855Sgabeblack@google.com    return 0;
12812855Sgabeblack@google.com}
129