112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com/*****************************************************************************
2112855Sgabeblack@google.com
2212855Sgabeblack@google.com  test01.cpp --
2312855Sgabeblack@google.com
2412855Sgabeblack@google.com  Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
2512855Sgabeblack@google.com
2612855Sgabeblack@google.com *****************************************************************************/
2712855Sgabeblack@google.com
2812855Sgabeblack@google.com/*****************************************************************************
2912855Sgabeblack@google.com
3012855Sgabeblack@google.com  MODIFICATION LOG - modifiers, enter your name, affiliation, date and
3112855Sgabeblack@google.com  changes you are making here.
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com      Name, Affiliation, Date:
3412855Sgabeblack@google.com  Description of Modification:
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com *****************************************************************************/
3712855Sgabeblack@google.com
3812855Sgabeblack@google.com// test of named ports
3912855Sgabeblack@google.com
4012855Sgabeblack@google.com#include "systemc.h"
4112855Sgabeblack@google.com
4212855Sgabeblack@google.comSC_MODULE( mod_a )
4312855Sgabeblack@google.com{
4412855Sgabeblack@google.com    sc_in_clk    in_clk;
4512855Sgabeblack@google.com    sc_inout_clk inout_clk;
4612855Sgabeblack@google.com    sc_out_clk   out_clk;
4712855Sgabeblack@google.com
4812855Sgabeblack@google.com    sc_fifo_in<int>  fifo_in;
4912855Sgabeblack@google.com    sc_fifo_out<int> fifo_out;
5012855Sgabeblack@google.com
5112855Sgabeblack@google.com    sc_port<sc_signal_in_if<float> > port;
5212855Sgabeblack@google.com
5312855Sgabeblack@google.com    sc_in<int>         in_int;
5412855Sgabeblack@google.com    sc_in<bool>        in_bool;
5512855Sgabeblack@google.com    sc_in<sc_logic>    in_logic;
5612855Sgabeblack@google.com    sc_inout<int>      inout_int;
5712855Sgabeblack@google.com    sc_inout<bool>     inout_bool;
5812855Sgabeblack@google.com    sc_inout<sc_logic> inout_logic;
5912855Sgabeblack@google.com    sc_out<int>        out_int;
6012855Sgabeblack@google.com    sc_out<bool>       out_bool;
6112855Sgabeblack@google.com    sc_out<sc_logic>   out_logic;
6212855Sgabeblack@google.com
6312855Sgabeblack@google.com    sc_in_resolved    in_resolved;
6412855Sgabeblack@google.com    sc_inout_resolved inout_resolved;
6512855Sgabeblack@google.com    sc_out_resolved   out_resolved;
6612855Sgabeblack@google.com
6712855Sgabeblack@google.com    sc_in_rv<1>    in_rv;
6812855Sgabeblack@google.com    sc_inout_rv<1> inout_rv;
6912855Sgabeblack@google.com    sc_out_rv<1>   out_rv;
7012855Sgabeblack@google.com
7112855Sgabeblack@google.com    SC_CTOR( mod_a )
7212855Sgabeblack@google.com    : in_clk( "in_clk" ), inout_clk( "inout_clk" ), out_clk( "out_clk" ),
7312855Sgabeblack@google.com      fifo_in( "fifo_in" ), fifo_out( "fifo_out" ),
7412855Sgabeblack@google.com      port( "port" ),
7512855Sgabeblack@google.com      in_int( "in_int" ), in_bool( "in_bool" ), in_logic( "in_logic" ),
7612855Sgabeblack@google.com      inout_int( "inout_int" ), inout_bool( "inout_bool" ),
7712855Sgabeblack@google.com      inout_logic( "inout_logic" ),
7812855Sgabeblack@google.com      out_int( "out_int" ), out_bool( "out_bool" ), out_logic( "out_logic" ),
7912855Sgabeblack@google.com      in_resolved( "in_resolved" ), inout_resolved( "inout_resolved" ),
8012855Sgabeblack@google.com      out_resolved( "out_resolved" ),
8112855Sgabeblack@google.com      in_rv( "in_rv" ), inout_rv( "inout_rv" ), out_rv( "out_rv" )
8212855Sgabeblack@google.com    {}
8312855Sgabeblack@google.com};
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com#define WRITE(a) \
8612855Sgabeblack@google.com    cout << a.name() << " (" << a.kind() << ")" << endl
8712855Sgabeblack@google.com
8812855Sgabeblack@google.comint
8912855Sgabeblack@google.comsc_main( int, char*[] )
9012855Sgabeblack@google.com{
9112855Sgabeblack@google.com    mod_a a( "a" );
9212855Sgabeblack@google.com
9312855Sgabeblack@google.com    WRITE( a.in_clk );
9412855Sgabeblack@google.com    WRITE( a.inout_clk );
9512855Sgabeblack@google.com    WRITE( a.out_clk );
9612855Sgabeblack@google.com
9712855Sgabeblack@google.com    WRITE( a.fifo_in );
9812855Sgabeblack@google.com    WRITE( a.fifo_out );
9912855Sgabeblack@google.com
10012855Sgabeblack@google.com    WRITE( a.port );
10112855Sgabeblack@google.com
10212855Sgabeblack@google.com    WRITE( a.in_int );
10312855Sgabeblack@google.com    WRITE( a.in_bool );
10412855Sgabeblack@google.com    WRITE( a.in_logic );
10512855Sgabeblack@google.com    WRITE( a.inout_int );
10612855Sgabeblack@google.com    WRITE( a.inout_bool );
10712855Sgabeblack@google.com    WRITE( a.inout_logic );
10812855Sgabeblack@google.com    WRITE( a.out_int );
10912855Sgabeblack@google.com    WRITE( a.out_bool );
11012855Sgabeblack@google.com    WRITE( a.out_logic );
11112855Sgabeblack@google.com
11212855Sgabeblack@google.com    WRITE( a.in_resolved );
11312855Sgabeblack@google.com    WRITE( a.inout_resolved );
11412855Sgabeblack@google.com    WRITE( a.out_resolved );
11512855Sgabeblack@google.com
11612855Sgabeblack@google.com    WRITE( a.in_rv );
11712855Sgabeblack@google.com    WRITE( a.inout_rv );
11812855Sgabeblack@google.com    WRITE( a.out_rv );
11912855Sgabeblack@google.com
12012855Sgabeblack@google.com    return 0;
12112855Sgabeblack@google.com}
122