112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com// proc_ctrl.cpp -- test for
2112855Sgabeblack@google.com//
2212855Sgabeblack@google.com//  Original Author: John Aynsley, Doulos, Inc.
2312855Sgabeblack@google.com//
2412855Sgabeblack@google.com// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
2512855Sgabeblack@google.com//
2612855Sgabeblack@google.com// $Log: proc_ctrl.cpp,v $
2712855Sgabeblack@google.com// Revision 1.2  2011/05/08 19:18:46  acg
2812855Sgabeblack@google.com//  Andy Goodrich: remove extraneous + prefixes from git diff.
2912855Sgabeblack@google.com//
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com// Basic functionality of process control methods
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com#define SC_INCLUDE_DYNAMIC_PROCESSES
3412855Sgabeblack@google.com
3512855Sgabeblack@google.com#include <systemc>
3612855Sgabeblack@google.comusing sc_core::sc_process_handle;
3712855Sgabeblack@google.comusing sc_core::sc_event;
3812855Sgabeblack@google.comusing sc_core::sc_get_current_process_handle;
3912855Sgabeblack@google.comusing sc_core::SC_NS;
4012855Sgabeblack@google.comusing sc_core::sc_time;
4112855Sgabeblack@google.comusing sc_core::sc_time_stamp;
4212855Sgabeblack@google.comusing sc_core::sc_stop;
4312855Sgabeblack@google.comusing sc_core::sc_unwind_exception;
4412855Sgabeblack@google.comusing sc_core::sc_is_unwinding;
4512855Sgabeblack@google.comusing sc_core::sc_start;
4612855Sgabeblack@google.comusing sc_core::SC_ZERO_TIME;
4712855Sgabeblack@google.comusing std::cout;
4812855Sgabeblack@google.comusing std::endl;
4912855Sgabeblack@google.com
5012855Sgabeblack@google.comSC_MODULE(Top)
5112855Sgabeblack@google.com{
5212855Sgabeblack@google.com  SC_CTOR(Top)
5312855Sgabeblack@google.com  : count(0)
5412855Sgabeblack@google.com  {
5512855Sgabeblack@google.com    SC_METHOD(gen);
5612855Sgabeblack@google.com      sensitive << ev;
5712855Sgabeblack@google.com
5812855Sgabeblack@google.com    SC_THREAD(ctrl);
5912855Sgabeblack@google.com
6012855Sgabeblack@google.com    SC_THREAD(target);
6112855Sgabeblack@google.com      t1 = sc_get_current_process_handle();
6212855Sgabeblack@google.com
6312855Sgabeblack@google.com    f0 = f1 = f2 = f3 = f4 = f5 = f6 = f7 = f8 = f9 = 0;
6412855Sgabeblack@google.com    f10 = f11 = f12 = f13 = f14 = f15 = f16 = f17 = f18 = f19 = 0;
6512855Sgabeblack@google.com    f20 = f21 = f22 = f23 = f24 = f25 = f26 = f27 = f28 = f29 = 0;
6612855Sgabeblack@google.com  }
6712855Sgabeblack@google.com
6812855Sgabeblack@google.com  sc_process_handle t1, t2;
6912855Sgabeblack@google.com  sc_event ev;
7012855Sgabeblack@google.com  int count;
7112855Sgabeblack@google.com  int f0, f1, f2, f3, f4, f5, f6, f7, f8, f9;
7212855Sgabeblack@google.com  int f10, f11, f12, f13, f14, f15, f16, f17, f18, f19;
7312855Sgabeblack@google.com  int f20, f21, f22, f23, f24, f25, f26, f27, f28, f29;
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com  void gen()
7612855Sgabeblack@google.com  {
7712855Sgabeblack@google.com    ev.notify(10, SC_NS);
7812855Sgabeblack@google.com  }
7912855Sgabeblack@google.com
8012855Sgabeblack@google.com  void ctrl()
8112855Sgabeblack@google.com  {
8212855Sgabeblack@google.com    wait(SC_ZERO_TIME);
8312855Sgabeblack@google.com
8412855Sgabeblack@google.com    count = 1;
8512855Sgabeblack@google.com    wait(15, SC_NS);
8612855Sgabeblack@google.com
8712855Sgabeblack@google.com    count = 2;
8812855Sgabeblack@google.com    t1.suspend();
8912855Sgabeblack@google.com    wait(20, SC_NS);
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com    count = 3;
9212855Sgabeblack@google.com    t1.reset();      // Reset takes priority over suspend
9312855Sgabeblack@google.com    wait(20, SC_NS);
9412855Sgabeblack@google.com
9512855Sgabeblack@google.com    count = 4;
9612855Sgabeblack@google.com    t1.reset();      // Reset takes priority over suspend
9712855Sgabeblack@google.com    wait(20, SC_NS);
9812855Sgabeblack@google.com
9912855Sgabeblack@google.com    count = 5;
10012855Sgabeblack@google.com    t1.resume();
10112855Sgabeblack@google.com    wait(SC_ZERO_TIME);
10212855Sgabeblack@google.com
10312855Sgabeblack@google.com    count = 6;
10412855Sgabeblack@google.com    wait(10, SC_NS);
10512855Sgabeblack@google.com
10612855Sgabeblack@google.com    count = 7;
10712855Sgabeblack@google.com    wait(10, SC_NS);
10812855Sgabeblack@google.com
10912855Sgabeblack@google.com    count = 8;
11012855Sgabeblack@google.com    t1.reset();
11112855Sgabeblack@google.com
11212855Sgabeblack@google.com    count = 9;
11312855Sgabeblack@google.com    wait(10, SC_NS);
11412855Sgabeblack@google.com
11512855Sgabeblack@google.com    count = 10;
11612855Sgabeblack@google.com    wait(10, SC_NS);
11712855Sgabeblack@google.com
11812855Sgabeblack@google.com    count = 11;
11912855Sgabeblack@google.com    t1.disable();
12012855Sgabeblack@google.com    wait(20, SC_NS);
12112855Sgabeblack@google.com
12212855Sgabeblack@google.com    count = 12;
12312855Sgabeblack@google.com    t1.reset();      // Reset takes priority over enable
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com    count = 13;
12612855Sgabeblack@google.com    wait(20, SC_NS);
12712855Sgabeblack@google.com
12812855Sgabeblack@google.com    count = 14;
12912855Sgabeblack@google.com    t1.reset();      // Reset takes priority over enable
13012855Sgabeblack@google.com
13112855Sgabeblack@google.com    count = 15;
13212855Sgabeblack@google.com    wait(20, SC_NS);
13312855Sgabeblack@google.com
13412855Sgabeblack@google.com    count = 16;
13512855Sgabeblack@google.com    t1.enable();
13612855Sgabeblack@google.com    wait(SC_ZERO_TIME);
13712855Sgabeblack@google.com
13812855Sgabeblack@google.com    count = 17;
13912855Sgabeblack@google.com    wait(10, SC_NS);
14012855Sgabeblack@google.com
14112855Sgabeblack@google.com    count = 18;
14212855Sgabeblack@google.com    wait(10, SC_NS);
14312855Sgabeblack@google.com
14412855Sgabeblack@google.com    count = 19;
14512855Sgabeblack@google.com    t1.disable();
14612855Sgabeblack@google.com    wait(SC_ZERO_TIME);
14712855Sgabeblack@google.com
14812855Sgabeblack@google.com    count = 20;
14912855Sgabeblack@google.com    wait(20, SC_NS);
15012855Sgabeblack@google.com
15112855Sgabeblack@google.com    count = 21;
15212855Sgabeblack@google.com    t1.suspend();
15312855Sgabeblack@google.com    wait(20, SC_NS);
15412855Sgabeblack@google.com
15512855Sgabeblack@google.com    count = 22;
15612855Sgabeblack@google.com    t1.enable();
15712855Sgabeblack@google.com    wait(SC_ZERO_TIME);
15812855Sgabeblack@google.com
15912855Sgabeblack@google.com    count = 23;
16012855Sgabeblack@google.com    wait(20, SC_NS);
16112855Sgabeblack@google.com
16212855Sgabeblack@google.com    count = 24;
16312855Sgabeblack@google.com    t1.resume();
16412855Sgabeblack@google.com    wait(SC_ZERO_TIME);
16512855Sgabeblack@google.com
16612855Sgabeblack@google.com    count = 25;
16712855Sgabeblack@google.com    wait(10, SC_NS);
16812855Sgabeblack@google.com
16912855Sgabeblack@google.com    count = 26;
17012855Sgabeblack@google.com    wait(10, SC_NS);
17112855Sgabeblack@google.com
17212855Sgabeblack@google.com    count = 27;
17312855Sgabeblack@google.com    t1.suspend();
17412855Sgabeblack@google.com
17512855Sgabeblack@google.com    count = 28;
17612855Sgabeblack@google.com    wait(20, SC_NS);
17712855Sgabeblack@google.com
17812855Sgabeblack@google.com    count = 29;
17912855Sgabeblack@google.com    t1.kill();       // kill takes priority over suspend
18012855Sgabeblack@google.com    wait(20, SC_NS);
18112855Sgabeblack@google.com
18212855Sgabeblack@google.com    count = 30;
18312855Sgabeblack@google.com    t1.resume();
18412855Sgabeblack@google.com    wait(20, SC_NS);
18512855Sgabeblack@google.com
18612855Sgabeblack@google.com    count = 31;
18712855Sgabeblack@google.com    sc_assert( !sc_is_unwinding() );
18812855Sgabeblack@google.com    if (t1.valid())
18912855Sgabeblack@google.com      sc_assert( !t1.is_unwinding() );
19012855Sgabeblack@google.com
19112855Sgabeblack@google.com    sc_stop();
19212855Sgabeblack@google.com  }
19312855Sgabeblack@google.com
19412855Sgabeblack@google.com  void target()
19512855Sgabeblack@google.com  {
19612855Sgabeblack@google.com    sc_assert( !sc_is_unwinding() );
19712855Sgabeblack@google.com
19812855Sgabeblack@google.com      switch(count)
19912855Sgabeblack@google.com      {
20012855Sgabeblack@google.com      case  0: sc_assert( sc_time_stamp() == sc_time(0, SC_NS) ); f1=1; break;
20112855Sgabeblack@google.com      case  3: sc_assert( sc_time_stamp() == sc_time(35, SC_NS) ); f3=1; break;
20212855Sgabeblack@google.com      case  4: sc_assert( sc_time_stamp() == sc_time(55, SC_NS) ); f4=1; break;
20312855Sgabeblack@google.com      case  8: sc_assert( sc_time_stamp() == sc_time(95, SC_NS) ); f8=1; break;
20412855Sgabeblack@google.com      case 12: sc_assert( sc_time_stamp() == sc_time(135, SC_NS) ); f11=1; break;
20512855Sgabeblack@google.com      case 14: sc_assert( sc_time_stamp() == sc_time(155, SC_NS) ); f12=1; break;
20612855Sgabeblack@google.com      default: sc_assert( false ); break;
20712855Sgabeblack@google.com      }
20812855Sgabeblack@google.com
20912855Sgabeblack@google.com    for(;;)
21012855Sgabeblack@google.com    {
21112855Sgabeblack@google.com      try {
21212855Sgabeblack@google.com        wait(ev);
21312855Sgabeblack@google.com
21412855Sgabeblack@google.com      switch(count)
21512855Sgabeblack@google.com      {
21612855Sgabeblack@google.com      case  1: sc_assert( sc_time_stamp() == sc_time(10, SC_NS) ); f2=1; break;
21712855Sgabeblack@google.com      case  5: sc_assert( sc_time_stamp() == sc_time(75, SC_NS) ); f5=1; break;
21812855Sgabeblack@google.com      case  6: sc_assert( sc_time_stamp() == sc_time(80, SC_NS) ); f6=1; break;
21912855Sgabeblack@google.com      case  7: sc_assert( sc_time_stamp() == sc_time(90, SC_NS) ); f7=1; break;
22012855Sgabeblack@google.com      case  9: sc_assert( sc_time_stamp() == sc_time(100, SC_NS) ); f9=1; break;
22112855Sgabeblack@google.com      case 10: sc_assert( sc_time_stamp() == sc_time(110, SC_NS) ); f10=1; break;
22212855Sgabeblack@google.com      case 17: sc_assert( sc_time_stamp() == sc_time(180, SC_NS) ); f13=1; break;
22312855Sgabeblack@google.com      case 18: sc_assert( sc_time_stamp() == sc_time(190, SC_NS) ); f14=1; break;
22412855Sgabeblack@google.com      case 24: sc_assert( sc_time_stamp() == sc_time(255, SC_NS) ); f15=1; break;
22512855Sgabeblack@google.com      case 25: sc_assert( sc_time_stamp() == sc_time(260, SC_NS) ); f16=1; break;
22612855Sgabeblack@google.com      case 26: sc_assert( sc_time_stamp() == sc_time(270, SC_NS) ); f17=1; break;
22712855Sgabeblack@google.com      default: sc_assert( false ); break;
22812855Sgabeblack@google.com      }
22912855Sgabeblack@google.com
23012855Sgabeblack@google.com      }
23112855Sgabeblack@google.com      catch (const sc_unwind_exception& e)
23212855Sgabeblack@google.com      {
23312855Sgabeblack@google.com        sc_assert( sc_is_unwinding() );
23412855Sgabeblack@google.com
23512855Sgabeblack@google.com        if (count == 29)
23612855Sgabeblack@google.com        {
23712855Sgabeblack@google.com          sc_assert( e.is_reset() == false ); f24=1;
23812855Sgabeblack@google.com        }
23912855Sgabeblack@google.com        switch(count)
24012855Sgabeblack@google.com        {
24112855Sgabeblack@google.com        case  3: sc_assert( sc_time_stamp() == sc_time(35, SC_NS) ); f18=1; break;
24212855Sgabeblack@google.com        case  4: sc_assert( sc_time_stamp() == sc_time(55, SC_NS) ); f19=1; break;
24312855Sgabeblack@google.com        case  8: sc_assert( sc_time_stamp() == sc_time(95, SC_NS) ); f20=1; break;
24412855Sgabeblack@google.com        case 12: sc_assert( sc_time_stamp() == sc_time(135, SC_NS) ); f21=1; break;
24512855Sgabeblack@google.com        case 14: sc_assert( sc_time_stamp() == sc_time(155, SC_NS) ); f22=1; break;
24612855Sgabeblack@google.com        case 29: sc_assert( sc_time_stamp() == sc_time(295, SC_NS) ); f23=1; break;
24712855Sgabeblack@google.com        default: sc_assert( false ); break;
24812855Sgabeblack@google.com        }
24912855Sgabeblack@google.com
25012855Sgabeblack@google.com        throw e;
25112855Sgabeblack@google.com      }
25212855Sgabeblack@google.com    }
25312855Sgabeblack@google.com  }
25412855Sgabeblack@google.com};
25512855Sgabeblack@google.com
25612855Sgabeblack@google.comint sc_main(int argc, char* argv[])
25712855Sgabeblack@google.com{
25812855Sgabeblack@google.com  Top top("top");
25912855Sgabeblack@google.com  sc_start();
26012855Sgabeblack@google.com
26112855Sgabeblack@google.com  sc_assert(top.f1);
26212855Sgabeblack@google.com  sc_assert(top.f2);
26312855Sgabeblack@google.com  sc_assert(top.f3);
26412855Sgabeblack@google.com  sc_assert(top.f4);
26512855Sgabeblack@google.com  sc_assert(top.f5);
26612855Sgabeblack@google.com  sc_assert(top.f6);
26712855Sgabeblack@google.com  sc_assert(top.f7);
26812855Sgabeblack@google.com  sc_assert(top.f8);
26912855Sgabeblack@google.com  sc_assert(top.f9);
27012855Sgabeblack@google.com  sc_assert(top.f10);
27112855Sgabeblack@google.com  sc_assert(top.f11);
27212855Sgabeblack@google.com  sc_assert(top.f12);
27312855Sgabeblack@google.com  sc_assert(top.f13);
27412855Sgabeblack@google.com  sc_assert(top.f14);
27512855Sgabeblack@google.com  sc_assert(top.f15);
27612855Sgabeblack@google.com  sc_assert(top.f16);
27712855Sgabeblack@google.com  sc_assert(top.f17);
27812855Sgabeblack@google.com  sc_assert(top.f18);
27912855Sgabeblack@google.com  sc_assert(top.f19);
28012855Sgabeblack@google.com  sc_assert(top.f20);
28112855Sgabeblack@google.com  sc_assert(top.f21);
28212855Sgabeblack@google.com  sc_assert(top.f22);
28312855Sgabeblack@google.com  sc_assert(top.f23);
28412855Sgabeblack@google.com  sc_assert(top.f24);
28512855Sgabeblack@google.com  /*
28612855Sgabeblack@google.com  sc_assert(top.f25);
28712855Sgabeblack@google.com  sc_assert(top.f26);
28812855Sgabeblack@google.com  sc_assert(top.f27);
28912855Sgabeblack@google.com  sc_assert(top.f28);
29012855Sgabeblack@google.com  sc_assert(top.f29);
29112855Sgabeblack@google.com  */
29212855Sgabeblack@google.com
29312855Sgabeblack@google.com  cout << endl << "Success" << endl;
29412855Sgabeblack@google.com  return 0;
29512855Sgabeblack@google.com}
296