112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com// mixed_child_procs.cpp -- test for
2112855Sgabeblack@google.com//
2212855Sgabeblack@google.com//  Original Author: John Aynsley, Doulos, Inc.
2312855Sgabeblack@google.com//
2412855Sgabeblack@google.com// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
2512855Sgabeblack@google.com//
2612855Sgabeblack@google.com// $Log: mixed_child_procs.cpp,v $
2712855Sgabeblack@google.com// Revision 1.2  2011/05/08 19:18:46  acg
2812855Sgabeblack@google.com//  Andy Goodrich: remove extraneous + prefixes from git diff.
2912855Sgabeblack@google.com//
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com// Process control methods applied where child process tree contains
3212855Sgabeblack@google.com// a mixture of method and thread processes
3312855Sgabeblack@google.com
3412855Sgabeblack@google.com#define SC_INCLUDE_DYNAMIC_PROCESSES
3512855Sgabeblack@google.com
3612855Sgabeblack@google.com#include <systemc>
3712855Sgabeblack@google.com
3812855Sgabeblack@google.comusing namespace sc_core;
3912855Sgabeblack@google.comusing std::cout;
4012855Sgabeblack@google.comusing std::endl;
4112855Sgabeblack@google.com
4212855Sgabeblack@google.com#include <string>
4312855Sgabeblack@google.comusing std::string;
4412855Sgabeblack@google.com
4512855Sgabeblack@google.comstruct Top: sc_module
4612855Sgabeblack@google.com{
4712855Sgabeblack@google.com  Top(sc_module_name _name)
4812855Sgabeblack@google.com  : count(0)
4912855Sgabeblack@google.com  , index(0)
5012855Sgabeblack@google.com  , n(30)
5112855Sgabeblack@google.com  {
5212855Sgabeblack@google.com    SC_THREAD(ctrl);
5312855Sgabeblack@google.com
5412855Sgabeblack@google.com    opt.spawn_method();
5512855Sgabeblack@google.com    opt.set_sensitivity( &ev );
5612855Sgabeblack@google.com
5712855Sgabeblack@google.com    given_birth = new bool[n];
5812855Sgabeblack@google.com
5912855Sgabeblack@google.com    f0 = new int[n];
6012855Sgabeblack@google.com    f1 = new int[n];
6112855Sgabeblack@google.com    f2 = new int[n];
6212855Sgabeblack@google.com    f3 = new int[n];
6312855Sgabeblack@google.com    f4 = new int[n];
6412855Sgabeblack@google.com    f5 = new int[n];
6512855Sgabeblack@google.com
6612855Sgabeblack@google.com    for (int i = 0; i < n; i++)
6712855Sgabeblack@google.com    {
6812855Sgabeblack@google.com      given_birth[i] = false;
6912855Sgabeblack@google.com
7012855Sgabeblack@google.com      f0[i] = 0;
7112855Sgabeblack@google.com      f1[i] = 0;
7212855Sgabeblack@google.com      f2[i] = 0;
7312855Sgabeblack@google.com      f3[i] = 0;
7412855Sgabeblack@google.com      f4[i] = 0;
7512855Sgabeblack@google.com      f5[i] = 0;
7612855Sgabeblack@google.com    }
7712855Sgabeblack@google.com
7812855Sgabeblack@google.com    t = sc_spawn(sc_bind(&Top::child_thread, this, index++, 3));
7912855Sgabeblack@google.com    m = sc_spawn(sc_bind(&Top::child_method, this, index++, 3), "m", &opt);
8012855Sgabeblack@google.com  }
8112855Sgabeblack@google.com
8212855Sgabeblack@google.com  sc_spawn_options opt;
8312855Sgabeblack@google.com  sc_process_handle t, m;
8412855Sgabeblack@google.com  std::exception ex;
8512855Sgabeblack@google.com  int count;
8612855Sgabeblack@google.com  int index;
8712855Sgabeblack@google.com  const int n;
8812855Sgabeblack@google.com  int *f0, *f1, *f2, *f3, *f4, *f5;
8912855Sgabeblack@google.com  bool *given_birth;
9012855Sgabeblack@google.com
9112855Sgabeblack@google.com  sc_event ev;
9212855Sgabeblack@google.com
9312855Sgabeblack@google.com  void ctrl()
9412855Sgabeblack@google.com  {
9512855Sgabeblack@google.com    wait(10, SC_NS);
9612855Sgabeblack@google.com
9712855Sgabeblack@google.com    count = 1;
9812855Sgabeblack@google.com    ev.notify();
9912855Sgabeblack@google.com    wait(10, SC_NS);
10012855Sgabeblack@google.com
10112855Sgabeblack@google.com    count = 2;
10212855Sgabeblack@google.com    t.throw_it(ex, SC_INCLUDE_DESCENDANTS);
10312855Sgabeblack@google.com    m.throw_it(ex, SC_INCLUDE_DESCENDANTS);
10412855Sgabeblack@google.com    wait(10, SC_NS);
10512855Sgabeblack@google.com
10612855Sgabeblack@google.com    count = 3;
10712855Sgabeblack@google.com    t.sync_reset_on(SC_INCLUDE_DESCENDANTS);
10812855Sgabeblack@google.com    m.sync_reset_on(SC_INCLUDE_DESCENDANTS);
10912855Sgabeblack@google.com    wait(10, SC_NS);
11012855Sgabeblack@google.com
11112855Sgabeblack@google.com    count = 4;
11212855Sgabeblack@google.com    ev.notify();
11312855Sgabeblack@google.com    wait(10, SC_NS);
11412855Sgabeblack@google.com
11512855Sgabeblack@google.com    count = 5;
11612855Sgabeblack@google.com    t.sync_reset_off(SC_INCLUDE_DESCENDANTS);
11712855Sgabeblack@google.com    m.sync_reset_off(SC_INCLUDE_DESCENDANTS);
11812855Sgabeblack@google.com    wait(10, SC_NS);
11912855Sgabeblack@google.com
12012855Sgabeblack@google.com    count = 6;
12112855Sgabeblack@google.com    ev.notify();
12212855Sgabeblack@google.com    wait(10, SC_NS);
12312855Sgabeblack@google.com   }
12412855Sgabeblack@google.com
12512855Sgabeblack@google.com  void child_thread(int i, int level)
12612855Sgabeblack@google.com  {
12712855Sgabeblack@google.com    //cout << "child_thread " << i << " at level " << level << " called at " << sc_time_stamp() << endl;
12812855Sgabeblack@google.com    if (level > 0 && !given_birth[i])
12912855Sgabeblack@google.com    {
13012855Sgabeblack@google.com      sc_spawn(sc_bind(&Top::child_thread, this, index++, level-1));
13112855Sgabeblack@google.com      sc_spawn(sc_bind(&Top::child_method, this, index++, level-1), "h", &opt);
13212855Sgabeblack@google.com      given_birth[i] = true;
13312855Sgabeblack@google.com    }
13412855Sgabeblack@google.com    switch(count)
13512855Sgabeblack@google.com    {
13612855Sgabeblack@google.com      case  0: f0[i]=1; break;
13712855Sgabeblack@google.com      case  4: f4[i]=1; break;
13812855Sgabeblack@google.com      default: sc_assert(false); break;
13912855Sgabeblack@google.com    }
14012855Sgabeblack@google.com    while(true)
14112855Sgabeblack@google.com    {
14212855Sgabeblack@google.com      try {
14312855Sgabeblack@google.com        wait(ev);
14412855Sgabeblack@google.com        //cout << "child_thread " << i << " at level " << level << " awoke at " << sc_time_stamp() << endl;
14512855Sgabeblack@google.com        switch(count)
14612855Sgabeblack@google.com        {
14712855Sgabeblack@google.com          case  1: f1[i]=1; break;
14812855Sgabeblack@google.com          case  6: f5[i]=1; break;
14912855Sgabeblack@google.com          default: sc_assert(false); break;
15012855Sgabeblack@google.com        }
15112855Sgabeblack@google.com      }
15212855Sgabeblack@google.com      catch (const std::exception& e) {
15312855Sgabeblack@google.com        //cout << "child_thread " << i << " at level " << level << " caught at " << sc_time_stamp() << endl;
15412855Sgabeblack@google.com        switch(count)
15512855Sgabeblack@google.com        {
15612855Sgabeblack@google.com          case  2: f2[i]=1; break;
15712855Sgabeblack@google.com          case  4: f3[i]=1; throw static_cast<const sc_unwind_exception&>(e);
15812855Sgabeblack@google.com          default: sc_assert(false); break;
15912855Sgabeblack@google.com        }
16012855Sgabeblack@google.com      }
16112855Sgabeblack@google.com    }
16212855Sgabeblack@google.com  }
16312855Sgabeblack@google.com
16412855Sgabeblack@google.com  void child_method(int i, int level)
16512855Sgabeblack@google.com  {
16612855Sgabeblack@google.com    //cout << "child_method " << i << " at level " << level << " at " << sc_time_stamp() << endl;
16712855Sgabeblack@google.com    if (level > 0 && !given_birth[i])
16812855Sgabeblack@google.com    {
16912855Sgabeblack@google.com      sc_spawn(sc_bind(&Top::child_thread, this, index++, level-1));
17012855Sgabeblack@google.com      sc_spawn(sc_bind(&Top::child_method, this, index++, level-1), "m", &opt);
17112855Sgabeblack@google.com      given_birth[i] = true;
17212855Sgabeblack@google.com    }
17312855Sgabeblack@google.com    switch(count)
17412855Sgabeblack@google.com    {
17512855Sgabeblack@google.com      case  0: f0[i]=2; break;
17612855Sgabeblack@google.com      case  1: sc_assert(sc_time_stamp() == sc_time(10, SC_NS)); f1[i]=1; break;
17712855Sgabeblack@google.com      case  4: f4[i]=1; break;
17812855Sgabeblack@google.com      case  6: f5[i]=1; break;
17912855Sgabeblack@google.com      default: sc_assert(false); break;
18012855Sgabeblack@google.com    }
18112855Sgabeblack@google.com    next_trigger(ev);
18212855Sgabeblack@google.com  }
18312855Sgabeblack@google.com
18412855Sgabeblack@google.com  SC_HAS_PROCESS(Top);
18512855Sgabeblack@google.com};
18612855Sgabeblack@google.com
18712855Sgabeblack@google.comint sc_main(int argc, char* argv[])
18812855Sgabeblack@google.com{
18912855Sgabeblack@google.com  sc_report_handler::set_actions(SC_WARNING, SC_DO_NOTHING);
19012855Sgabeblack@google.com
19112855Sgabeblack@google.com  Top top("top");
19212855Sgabeblack@google.com
19312855Sgabeblack@google.com  sc_start();
19412855Sgabeblack@google.com
19512855Sgabeblack@google.com  sc_assert( top.index == top.n );
19612855Sgabeblack@google.com
19712855Sgabeblack@google.com  for (int i = 0; i < top.n; i++)
19812855Sgabeblack@google.com  {
19912855Sgabeblack@google.com    sc_assert( top.f0[i] );
20012855Sgabeblack@google.com    sc_assert( top.f1[i] );
20112855Sgabeblack@google.com
20212855Sgabeblack@google.com    if (top.f0[i] == 1)  // i.e. a thread process
20312855Sgabeblack@google.com    {
20412855Sgabeblack@google.com      sc_assert( top.f2[i] );
20512855Sgabeblack@google.com      sc_assert( top.f3[i] );
20612855Sgabeblack@google.com    }
20712855Sgabeblack@google.com    sc_assert( top.f4[i] );
20812855Sgabeblack@google.com    sc_assert( top.f5[i] );
20912855Sgabeblack@google.com  }
21012855Sgabeblack@google.com
21112855Sgabeblack@google.com  cout << endl << "Success" << endl;
21212855Sgabeblack@google.com  return 0;
21312855Sgabeblack@google.com}
21412855Sgabeblack@google.com
215