112855Sgabeblack@google.com/*****************************************************************************
212855Sgabeblack@google.com
312855Sgabeblack@google.com  Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
412855Sgabeblack@google.com  more contributor license agreements.  See the NOTICE file distributed
512855Sgabeblack@google.com  with this work for additional information regarding copyright ownership.
612855Sgabeblack@google.com  Accellera licenses this file to you under the Apache License, Version 2.0
712855Sgabeblack@google.com  (the "License"); you may not use this file except in compliance with the
812855Sgabeblack@google.com  License.  You may obtain a copy of the License at
912855Sgabeblack@google.com
1012855Sgabeblack@google.com    http://www.apache.org/licenses/LICENSE-2.0
1112855Sgabeblack@google.com
1212855Sgabeblack@google.com  Unless required by applicable law or agreed to in writing, software
1312855Sgabeblack@google.com  distributed under the License is distributed on an "AS IS" BASIS,
1412855Sgabeblack@google.com  WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
1512855Sgabeblack@google.com  implied.  See the License for the specific language governing
1612855Sgabeblack@google.com  permissions and limitations under the License.
1712855Sgabeblack@google.com
1812855Sgabeblack@google.com *****************************************************************************/
1912855Sgabeblack@google.com
2012855Sgabeblack@google.com// async_reset_port.cpp --
2112855Sgabeblack@google.com//
2212855Sgabeblack@google.com//  Original Author: John Aynsley, Doulos Inc.
2312855Sgabeblack@google.com//
2412855Sgabeblack@google.com// MODIFICATION LOG - modifiers, enter your name, affiliation, date and
2512855Sgabeblack@google.com//
2612855Sgabeblack@google.com// $Log: async_reset_port.cpp,v $
2712855Sgabeblack@google.com// Revision 1.2  2011/05/08 19:18:46  acg
2812855Sgabeblack@google.com//  Andy Goodrich: remove extraneous + prefixes from git diff.
2912855Sgabeblack@google.com//
3012855Sgabeblack@google.com
3112855Sgabeblack@google.com// async_reset_signal_is
3212855Sgabeblack@google.com
3312855Sgabeblack@google.com#define SC_INCLUDE_DYNAMIC_PROCESSES
3412855Sgabeblack@google.com
3512855Sgabeblack@google.com#include <systemc>
3612855Sgabeblack@google.comusing namespace sc_core;
3712855Sgabeblack@google.comusing std::cout;
3812855Sgabeblack@google.comusing std::endl;
3912855Sgabeblack@google.com
4012855Sgabeblack@google.comstruct M: sc_module
4112855Sgabeblack@google.com{
4212855Sgabeblack@google.com  sc_in<bool>    clk;
4312855Sgabeblack@google.com
4412855Sgabeblack@google.com  sc_in<bool>    sreset1;
4512855Sgabeblack@google.com  sc_inout<bool> sreset2;
4612855Sgabeblack@google.com  sc_out<bool>   sreset3;
4712855Sgabeblack@google.com
4812855Sgabeblack@google.com  sc_in<bool>    areset1;
4912855Sgabeblack@google.com  sc_inout<bool> areset2;
5012855Sgabeblack@google.com  sc_out<bool>   areset3;
5112855Sgabeblack@google.com
5212855Sgabeblack@google.com  M(sc_module_name _name)
5312855Sgabeblack@google.com  : count(0)
5412855Sgabeblack@google.com  {
5512855Sgabeblack@google.com    SC_CTHREAD(CT1, clk);
5612855Sgabeblack@google.com      reset_signal_is(sreset1, false);
5712855Sgabeblack@google.com      ct1 = sc_get_current_process_handle();
5812855Sgabeblack@google.com
5912855Sgabeblack@google.com    SC_CTHREAD(CT2, clk);
6012855Sgabeblack@google.com      reset_signal_is(sreset2, false);
6112855Sgabeblack@google.com      ct2 = sc_get_current_process_handle();
6212855Sgabeblack@google.com
6312855Sgabeblack@google.com    SC_CTHREAD(CT3, clk);
6412855Sgabeblack@google.com      reset_signal_is(sreset3, false);
6512855Sgabeblack@google.com      ct3 = sc_get_current_process_handle();
6612855Sgabeblack@google.com
6712855Sgabeblack@google.com    SC_CTHREAD(CT4, clk);
6812855Sgabeblack@google.com      async_reset_signal_is(areset1, false);
6912855Sgabeblack@google.com      ct4 = sc_get_current_process_handle();
7012855Sgabeblack@google.com
7112855Sgabeblack@google.com    SC_CTHREAD(CT5, clk);
7212855Sgabeblack@google.com      async_reset_signal_is(areset2, false);
7312855Sgabeblack@google.com      ct5 = sc_get_current_process_handle();
7412855Sgabeblack@google.com
7512855Sgabeblack@google.com    SC_CTHREAD(CT6, clk);
7612855Sgabeblack@google.com      async_reset_signal_is(areset3, false);
7712855Sgabeblack@google.com      ct6 = sc_get_current_process_handle();
7812855Sgabeblack@google.com
7912855Sgabeblack@google.com    f1 = f2 = f3 = f4 = f5 = f6 = f7 = f8 = f9 = 0;
8012855Sgabeblack@google.com    f10 = f11 = f12 = f13 = f14 = f15 = f16 = f17 = f18 = f19 = 0;
8112855Sgabeblack@google.com    f20 = f21 = f22 = f23 = f24 = f25 = f26 = f27 = f28 = f29 = 0;
8212855Sgabeblack@google.com    f30 = f31 = f32 = f33 = f34 = f35 = f36 = f37 = f38 = f39 = 0;
8312855Sgabeblack@google.com  }
8412855Sgabeblack@google.com
8512855Sgabeblack@google.com  int count;
8612855Sgabeblack@google.com  sc_process_handle ct1, ct2, ct3, ct4, ct5, ct6;
8712855Sgabeblack@google.com
8812855Sgabeblack@google.com  int f1, f2, f3, f4, f5, f6, f7, f8, f9;
8912855Sgabeblack@google.com  int f10, f11, f12, f13, f14, f15, f16, f17, f18, f19;
9012855Sgabeblack@google.com  int f20, f21, f22, f23, f24, f25, f26, f27, f28, f29;
9112855Sgabeblack@google.com  int f30, f31, f32, f33, f34, f35, f36, f37, f38, f39;
9212855Sgabeblack@google.com
9312855Sgabeblack@google.com  void CT1()
9412855Sgabeblack@google.com  {
9512855Sgabeblack@google.com    if (count ==  2) { sc_assert(sc_time_stamp() == sc_time( 15, SC_NS));  f1 = 1; }
9612855Sgabeblack@google.com    if (count == 17) { sc_assert(false); }
9712855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f16 = 1; }
9812855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f25 = 1; }
9912855Sgabeblack@google.com    while (true)
10012855Sgabeblack@google.com    {
10112855Sgabeblack@google.com      wait();
10212855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f10 = 1; }
10312855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f31 = 1; }
10412855Sgabeblack@google.com    }
10512855Sgabeblack@google.com  }
10612855Sgabeblack@google.com
10712855Sgabeblack@google.com  void CT2()
10812855Sgabeblack@google.com  {
10912855Sgabeblack@google.com    if (count ==  4) { sc_assert(sc_time_stamp() == sc_time( 35, SC_NS));  f2 = 1; }
11012855Sgabeblack@google.com    if (count == 17) { sc_assert(false); }
11112855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f17 = 1; }
11212855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f26 = 1; }
11312855Sgabeblack@google.com    while (true)
11412855Sgabeblack@google.com    {
11512855Sgabeblack@google.com      wait();
11612855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f11 = 1; }
11712855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f32 = 1; }
11812855Sgabeblack@google.com    }
11912855Sgabeblack@google.com  }
12012855Sgabeblack@google.com
12112855Sgabeblack@google.com  void CT3()
12212855Sgabeblack@google.com  {
12312855Sgabeblack@google.com    if (count ==  6) { sc_assert(sc_time_stamp() == sc_time( 55, SC_NS));  f3 = 1; }
12412855Sgabeblack@google.com    if (count == 17) { sc_assert(false); }
12512855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f18 = 1; }
12612855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f27 = 1; }
12712855Sgabeblack@google.com    while (true)
12812855Sgabeblack@google.com    {
12912855Sgabeblack@google.com      wait();
13012855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f12 = 1; }
13112855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f33 = 1; }
13212855Sgabeblack@google.com    }
13312855Sgabeblack@google.com  }
13412855Sgabeblack@google.com
13512855Sgabeblack@google.com  void CT4()
13612855Sgabeblack@google.com  {
13712855Sgabeblack@google.com    if (count ==  8) { sc_assert(sc_time_stamp() == sc_time( 70, SC_NS));  f4 = 1; }
13812855Sgabeblack@google.com    if (count ==  9) { sc_assert(sc_time_stamp() == sc_time( 75, SC_NS));  f5 = 1; }
13912855Sgabeblack@google.com    if (count == 17) { sc_assert(sc_time_stamp() == sc_time(130, SC_NS)); f19 = 1; }
14012855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f22 = 1; }
14112855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f28 = 1; }
14212855Sgabeblack@google.com    while (true)
14312855Sgabeblack@google.com    {
14412855Sgabeblack@google.com      wait();
14512855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f13 = 1; }
14612855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f34 = 1; }
14712855Sgabeblack@google.com    }
14812855Sgabeblack@google.com  }
14912855Sgabeblack@google.com
15012855Sgabeblack@google.com  void CT5()
15112855Sgabeblack@google.com  {
15212855Sgabeblack@google.com    if (count == 11) { sc_assert(sc_time_stamp() == sc_time( 90, SC_NS));  f6 = 1; }
15312855Sgabeblack@google.com    if (count == 12) { sc_assert(sc_time_stamp() == sc_time( 95, SC_NS));  f7 = 1; }
15412855Sgabeblack@google.com    if (count == 17) { sc_assert(sc_time_stamp() == sc_time(130, SC_NS)); f20 = 1; }
15512855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f23 = 1; }
15612855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f29 = 1; }
15712855Sgabeblack@google.com    while (true)
15812855Sgabeblack@google.com    {
15912855Sgabeblack@google.com      wait();
16012855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f14 = 1; }
16112855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f35 = 1; }
16212855Sgabeblack@google.com    }
16312855Sgabeblack@google.com  }
16412855Sgabeblack@google.com
16512855Sgabeblack@google.com  void CT6()
16612855Sgabeblack@google.com  {
16712855Sgabeblack@google.com    if (count == 14) { sc_assert(sc_time_stamp() == sc_time(110, SC_NS));  f8 = 1; }
16812855Sgabeblack@google.com    if (count == 15) { sc_assert(sc_time_stamp() == sc_time(115, SC_NS));  f9 = 1; }
16912855Sgabeblack@google.com    if (count == 17) { sc_assert(sc_time_stamp() == sc_time(130, SC_NS)); f21 = 1; }
17012855Sgabeblack@google.com    if (count == 18) { sc_assert(sc_time_stamp() == sc_time(135, SC_NS)); f24 = 1; }
17112855Sgabeblack@google.com    if (count == 19) { sc_assert(sc_time_stamp() == sc_time(145, SC_NS)); f30 = 1; }
17212855Sgabeblack@google.com    while (true)
17312855Sgabeblack@google.com    {
17412855Sgabeblack@google.com      try {
17512855Sgabeblack@google.com        wait();
17612855Sgabeblack@google.com      }
17712855Sgabeblack@google.com      catch (const sc_unwind_exception& e) {
17812855Sgabeblack@google.com        sc_assert( e.is_reset() );
17912855Sgabeblack@google.com        sc_assert( sc_is_unwinding() );
18012855Sgabeblack@google.com        if (count == 14) { sc_assert(sc_time_stamp() == sc_time(110, SC_NS)); f37 = 1; }
18112855Sgabeblack@google.com        if (count == 17) { sc_assert(sc_time_stamp() == sc_time(130, SC_NS)); f38 = 1; }
18212855Sgabeblack@google.com        throw e;
18312855Sgabeblack@google.com      }
18412855Sgabeblack@google.com      if (count == 16) { sc_assert(sc_time_stamp() == sc_time(125, SC_NS)); f15 = 1; }
18512855Sgabeblack@google.com      if (count == 20) { sc_assert(sc_time_stamp() == sc_time(155, SC_NS)); f36 = 1; }
18612855Sgabeblack@google.com    }
18712855Sgabeblack@google.com  }
18812855Sgabeblack@google.com
18912855Sgabeblack@google.com  SC_HAS_PROCESS(M);
19012855Sgabeblack@google.com};
19112855Sgabeblack@google.com
19212855Sgabeblack@google.comstruct Top: sc_module
19312855Sgabeblack@google.com{
19412855Sgabeblack@google.com  Top(sc_module_name _name)
19512855Sgabeblack@google.com  {
19612855Sgabeblack@google.com    m = new M("m");
19712855Sgabeblack@google.com    m->clk(clk);
19812855Sgabeblack@google.com    m->sreset1(sreset1);
19912855Sgabeblack@google.com    m->sreset2(sreset2);
20012855Sgabeblack@google.com    m->sreset3(sreset3);
20112855Sgabeblack@google.com    m->areset1(areset1);
20212855Sgabeblack@google.com    m->areset2(areset2);
20312855Sgabeblack@google.com    m->areset3(areset3);
20412855Sgabeblack@google.com
20512855Sgabeblack@google.com    SC_THREAD(ctrl);
20612855Sgabeblack@google.com
20712855Sgabeblack@google.com    // Resets are all active-low
20812855Sgabeblack@google.com    sreset1.write(1);
20912855Sgabeblack@google.com    sreset2.write(1);
21012855Sgabeblack@google.com    sreset3.write(1);
21112855Sgabeblack@google.com    areset1.write(1);
21212855Sgabeblack@google.com    areset2.write(1);
21312855Sgabeblack@google.com    areset3.write(1);
21412855Sgabeblack@google.com  }
21512855Sgabeblack@google.com
21612855Sgabeblack@google.com  M* m;
21712855Sgabeblack@google.com
21812855Sgabeblack@google.com  sc_signal<bool> clk;
21912855Sgabeblack@google.com
22012855Sgabeblack@google.com  sc_signal<bool> sreset1;
22112855Sgabeblack@google.com  sc_signal<bool> sreset2;
22212855Sgabeblack@google.com  sc_signal<bool> sreset3;
22312855Sgabeblack@google.com
22412855Sgabeblack@google.com  sc_signal<bool> areset1;
22512855Sgabeblack@google.com  sc_signal<bool> areset2;
22612855Sgabeblack@google.com  sc_signal<bool> areset3;
22712855Sgabeblack@google.com
22812855Sgabeblack@google.com  void ctrl()
22912855Sgabeblack@google.com  {
23012855Sgabeblack@google.com    m->count = 1;
23112855Sgabeblack@google.com    clock();
23212855Sgabeblack@google.com
23312855Sgabeblack@google.com    m->count = 2;
23412855Sgabeblack@google.com    sreset1.write(0);
23512855Sgabeblack@google.com    clock();
23612855Sgabeblack@google.com
23712855Sgabeblack@google.com    m->count = 3;
23812855Sgabeblack@google.com    sreset1.write(1);
23912855Sgabeblack@google.com    clock();
24012855Sgabeblack@google.com
24112855Sgabeblack@google.com    m->count = 4;
24212855Sgabeblack@google.com    sreset2.write(0);
24312855Sgabeblack@google.com    clock();
24412855Sgabeblack@google.com
24512855Sgabeblack@google.com    m->count = 5;
24612855Sgabeblack@google.com    sreset2.write(1);
24712855Sgabeblack@google.com    clock();
24812855Sgabeblack@google.com
24912855Sgabeblack@google.com    m->count = 6;
25012855Sgabeblack@google.com    sreset3.write(0);
25112855Sgabeblack@google.com    clock();
25212855Sgabeblack@google.com
25312855Sgabeblack@google.com    m->count = 7;
25412855Sgabeblack@google.com    sreset3.write(1);
25512855Sgabeblack@google.com    clock();
25612855Sgabeblack@google.com
25712855Sgabeblack@google.com    m->count = 8;
25812855Sgabeblack@google.com    areset1.write(0);
25912855Sgabeblack@google.com    wait(SC_ZERO_TIME);
26012855Sgabeblack@google.com
26112855Sgabeblack@google.com    m->count = 9;
26212855Sgabeblack@google.com    clock();
26312855Sgabeblack@google.com
26412855Sgabeblack@google.com    m->count = 10;
26512855Sgabeblack@google.com    areset1.write(1);
26612855Sgabeblack@google.com    clock();
26712855Sgabeblack@google.com
26812855Sgabeblack@google.com    m->count = 11;
26912855Sgabeblack@google.com    areset2.write(0);
27012855Sgabeblack@google.com    wait(SC_ZERO_TIME);
27112855Sgabeblack@google.com
27212855Sgabeblack@google.com    m->count = 12;
27312855Sgabeblack@google.com    clock();
27412855Sgabeblack@google.com
27512855Sgabeblack@google.com    m->count = 13;
27612855Sgabeblack@google.com    areset2.write(1);
27712855Sgabeblack@google.com    clock();
27812855Sgabeblack@google.com
27912855Sgabeblack@google.com    m->count = 14;
28012855Sgabeblack@google.com    areset3.write(0);
28112855Sgabeblack@google.com    wait(SC_ZERO_TIME);
28212855Sgabeblack@google.com
28312855Sgabeblack@google.com    m->count = 15;
28412855Sgabeblack@google.com    clock();
28512855Sgabeblack@google.com
28612855Sgabeblack@google.com    m->count = 16;
28712855Sgabeblack@google.com    areset3.write(1);
28812855Sgabeblack@google.com    clock();
28912855Sgabeblack@google.com
29012855Sgabeblack@google.com    m->count = 17;
29112855Sgabeblack@google.com    sreset1.write(0);
29212855Sgabeblack@google.com    sreset2.write(0);
29312855Sgabeblack@google.com    sreset3.write(0);
29412855Sgabeblack@google.com    areset1.write(0);
29512855Sgabeblack@google.com    areset2.write(0);
29612855Sgabeblack@google.com    areset3.write(0);
29712855Sgabeblack@google.com    wait(SC_ZERO_TIME);
29812855Sgabeblack@google.com
29912855Sgabeblack@google.com    m->count = 18;
30012855Sgabeblack@google.com    clock();
30112855Sgabeblack@google.com
30212855Sgabeblack@google.com    m->count = 19;
30312855Sgabeblack@google.com    clock();
30412855Sgabeblack@google.com
30512855Sgabeblack@google.com    m->count = 20;
30612855Sgabeblack@google.com    sreset1.write(1);
30712855Sgabeblack@google.com    sreset2.write(1);
30812855Sgabeblack@google.com    sreset3.write(1);
30912855Sgabeblack@google.com    areset1.write(1);
31012855Sgabeblack@google.com    areset2.write(1);
31112855Sgabeblack@google.com    areset3.write(1);
31212855Sgabeblack@google.com    clock();
31312855Sgabeblack@google.com  }
31412855Sgabeblack@google.com
31512855Sgabeblack@google.com  void clock()
31612855Sgabeblack@google.com  {
31712855Sgabeblack@google.com    clk.write(0);
31812855Sgabeblack@google.com    wait(5, SC_NS);
31912855Sgabeblack@google.com    clk.write(1);
32012855Sgabeblack@google.com    wait(5, SC_NS);
32112855Sgabeblack@google.com  }
32212855Sgabeblack@google.com
32312855Sgabeblack@google.com  SC_HAS_PROCESS(Top);
32412855Sgabeblack@google.com};
32512855Sgabeblack@google.com
32612855Sgabeblack@google.comint sc_main(int argc, char* argv[])
32712855Sgabeblack@google.com{
32812855Sgabeblack@google.com  Top top("top");
32912855Sgabeblack@google.com
33012855Sgabeblack@google.com  sc_start();
33112855Sgabeblack@google.com
33212855Sgabeblack@google.com  sc_assert(top.m->f1);
33312855Sgabeblack@google.com  sc_assert(top.m->f2);
33412855Sgabeblack@google.com  sc_assert(top.m->f3);
33512855Sgabeblack@google.com  sc_assert(top.m->f4);
33612855Sgabeblack@google.com  sc_assert(top.m->f5);
33712855Sgabeblack@google.com  sc_assert(top.m->f6);
33812855Sgabeblack@google.com  sc_assert(top.m->f7);
33912855Sgabeblack@google.com  sc_assert(top.m->f8);
34012855Sgabeblack@google.com  sc_assert(top.m->f9);
34112855Sgabeblack@google.com  sc_assert(top.m->f10);
34212855Sgabeblack@google.com  sc_assert(top.m->f11);
34312855Sgabeblack@google.com  sc_assert(top.m->f12);
34412855Sgabeblack@google.com  sc_assert(top.m->f13);
34512855Sgabeblack@google.com  sc_assert(top.m->f14);
34612855Sgabeblack@google.com  sc_assert(top.m->f15);
34712855Sgabeblack@google.com  sc_assert(top.m->f16);
34812855Sgabeblack@google.com  sc_assert(top.m->f17);
34912855Sgabeblack@google.com  sc_assert(top.m->f18);
35012855Sgabeblack@google.com  sc_assert(top.m->f19);
35112855Sgabeblack@google.com  sc_assert(top.m->f20);
35212855Sgabeblack@google.com  sc_assert(top.m->f21);
35312855Sgabeblack@google.com  sc_assert(top.m->f22);
35412855Sgabeblack@google.com  sc_assert(top.m->f23);
35512855Sgabeblack@google.com  sc_assert(top.m->f24);
35612855Sgabeblack@google.com  sc_assert(top.m->f25);
35712855Sgabeblack@google.com  sc_assert(top.m->f26);
35812855Sgabeblack@google.com  sc_assert(top.m->f28);
35912855Sgabeblack@google.com  sc_assert(top.m->f29);
36012855Sgabeblack@google.com  sc_assert(top.m->f30);
36112855Sgabeblack@google.com  sc_assert(top.m->f31);
36212855Sgabeblack@google.com  sc_assert(top.m->f32);
36312855Sgabeblack@google.com  sc_assert(top.m->f33);
36412855Sgabeblack@google.com  sc_assert(top.m->f34);
36512855Sgabeblack@google.com  sc_assert(top.m->f35);
36612855Sgabeblack@google.com  sc_assert(top.m->f36);
36712855Sgabeblack@google.com  sc_assert(top.m->f37);
36812855Sgabeblack@google.com  sc_assert(top.m->f38);
36912855Sgabeblack@google.com
37012855Sgabeblack@google.com  cout << endl << "Success" << endl;
37112855Sgabeblack@google.com  return 0;
37212855Sgabeblack@google.com}
373