sc_module.hh revision 13292
1/*
2 * Copyright 2018 Google, Inc.
3 *
4 * Redistribution and use in source and binary forms, with or without
5 * modification, are permitted provided that the following conditions are
6 * met: redistributions of source code must retain the above copyright
7 * notice, this list of conditions and the following disclaimer;
8 * redistributions in binary form must reproduce the above copyright
9 * notice, this list of conditions and the following disclaimer in the
10 * documentation and/or other materials provided with the distribution;
11 * neither the name of the copyright holders nor the names of its
12 * contributors may be used to endorse or promote products derived from
13 * this software without specific prior written permission.
14 *
15 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
16 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
17 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
18 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
19 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
20 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
21 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
22 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
23 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
24 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
25 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
26 *
27 * Authors: Gabe Black
28 */
29
30#ifndef __SYSTEMC_CORE_EXT_SC_MODULE_HH__
31#define __SYSTEMC_CORE_EXT_SC_MODULE_HH__
32
33#include <vector>
34
35#include "sc_object.hh"
36#include "sc_process_handle.hh"
37#include "sc_sensitive.hh"
38#include "sc_time.hh"
39
40namespace sc_dt
41{
42
43class sc_logic;
44
45} // namespace sc_dt
46
47namespace sc_gem5
48{
49
50class Kernel;
51class Module;
52class Process;
53struct ProcessFuncWrapper;
54
55Process *newMethodProcess(const char *name, ProcessFuncWrapper *func);
56Process *newThreadProcess(const char *name, ProcessFuncWrapper *func);
57Process *newCThreadProcess(const char *name, ProcessFuncWrapper *func);
58
59} // namespace sc_gem5
60
61namespace sc_core
62{
63
64template <class T>
65class sc_in;
66template <class T>
67class sc_out;
68template <class T>
69class sc_inout;
70template <class T>
71class sc_signal_in_if;
72
73class sc_event;
74class sc_event_and_list;
75class sc_event_or_list;
76class sc_module_name;
77
78class sc_bind_proxy
79{
80  private:
81    sc_interface *_interface;
82    sc_port_base *_port;
83
84  public:
85    sc_bind_proxy(sc_interface &_interface);
86    sc_bind_proxy(sc_port_base &_port);
87
88    sc_interface *interface() const { return _interface; }
89    sc_port_base *port() const { return _port; }
90};
91
92extern const sc_bind_proxy SC_BIND_PROXY_NIL;
93
94class sc_module : public sc_object
95{
96  public:
97    friend class ::sc_gem5::Kernel;
98    friend class ::sc_gem5::Module;
99
100    virtual ~sc_module();
101
102    virtual const char *kind() const { return "sc_module"; }
103
104    void operator () (const sc_bind_proxy &p001,
105                      const sc_bind_proxy &p002 = SC_BIND_PROXY_NIL,
106                      const sc_bind_proxy &p003 = SC_BIND_PROXY_NIL,
107                      const sc_bind_proxy &p004 = SC_BIND_PROXY_NIL,
108                      const sc_bind_proxy &p005 = SC_BIND_PROXY_NIL,
109                      const sc_bind_proxy &p006 = SC_BIND_PROXY_NIL,
110                      const sc_bind_proxy &p007 = SC_BIND_PROXY_NIL,
111                      const sc_bind_proxy &p008 = SC_BIND_PROXY_NIL,
112                      const sc_bind_proxy &p009 = SC_BIND_PROXY_NIL,
113                      const sc_bind_proxy &p010 = SC_BIND_PROXY_NIL,
114                      const sc_bind_proxy &p011 = SC_BIND_PROXY_NIL,
115                      const sc_bind_proxy &p012 = SC_BIND_PROXY_NIL,
116                      const sc_bind_proxy &p013 = SC_BIND_PROXY_NIL,
117                      const sc_bind_proxy &p014 = SC_BIND_PROXY_NIL,
118                      const sc_bind_proxy &p015 = SC_BIND_PROXY_NIL,
119                      const sc_bind_proxy &p016 = SC_BIND_PROXY_NIL,
120                      const sc_bind_proxy &p017 = SC_BIND_PROXY_NIL,
121                      const sc_bind_proxy &p018 = SC_BIND_PROXY_NIL,
122                      const sc_bind_proxy &p019 = SC_BIND_PROXY_NIL,
123                      const sc_bind_proxy &p020 = SC_BIND_PROXY_NIL,
124                      const sc_bind_proxy &p021 = SC_BIND_PROXY_NIL,
125                      const sc_bind_proxy &p022 = SC_BIND_PROXY_NIL,
126                      const sc_bind_proxy &p023 = SC_BIND_PROXY_NIL,
127                      const sc_bind_proxy &p024 = SC_BIND_PROXY_NIL,
128                      const sc_bind_proxy &p025 = SC_BIND_PROXY_NIL,
129                      const sc_bind_proxy &p026 = SC_BIND_PROXY_NIL,
130                      const sc_bind_proxy &p027 = SC_BIND_PROXY_NIL,
131                      const sc_bind_proxy &p028 = SC_BIND_PROXY_NIL,
132                      const sc_bind_proxy &p029 = SC_BIND_PROXY_NIL,
133                      const sc_bind_proxy &p030 = SC_BIND_PROXY_NIL,
134                      const sc_bind_proxy &p031 = SC_BIND_PROXY_NIL,
135                      const sc_bind_proxy &p032 = SC_BIND_PROXY_NIL,
136                      const sc_bind_proxy &p033 = SC_BIND_PROXY_NIL,
137                      const sc_bind_proxy &p034 = SC_BIND_PROXY_NIL,
138                      const sc_bind_proxy &p035 = SC_BIND_PROXY_NIL,
139                      const sc_bind_proxy &p036 = SC_BIND_PROXY_NIL,
140                      const sc_bind_proxy &p037 = SC_BIND_PROXY_NIL,
141                      const sc_bind_proxy &p038 = SC_BIND_PROXY_NIL,
142                      const sc_bind_proxy &p039 = SC_BIND_PROXY_NIL,
143                      const sc_bind_proxy &p040 = SC_BIND_PROXY_NIL,
144                      const sc_bind_proxy &p041 = SC_BIND_PROXY_NIL,
145                      const sc_bind_proxy &p042 = SC_BIND_PROXY_NIL,
146                      const sc_bind_proxy &p043 = SC_BIND_PROXY_NIL,
147                      const sc_bind_proxy &p044 = SC_BIND_PROXY_NIL,
148                      const sc_bind_proxy &p045 = SC_BIND_PROXY_NIL,
149                      const sc_bind_proxy &p046 = SC_BIND_PROXY_NIL,
150                      const sc_bind_proxy &p047 = SC_BIND_PROXY_NIL,
151                      const sc_bind_proxy &p048 = SC_BIND_PROXY_NIL,
152                      const sc_bind_proxy &p049 = SC_BIND_PROXY_NIL,
153                      const sc_bind_proxy &p050 = SC_BIND_PROXY_NIL,
154                      const sc_bind_proxy &p051 = SC_BIND_PROXY_NIL,
155                      const sc_bind_proxy &p052 = SC_BIND_PROXY_NIL,
156                      const sc_bind_proxy &p053 = SC_BIND_PROXY_NIL,
157                      const sc_bind_proxy &p054 = SC_BIND_PROXY_NIL,
158                      const sc_bind_proxy &p055 = SC_BIND_PROXY_NIL,
159                      const sc_bind_proxy &p056 = SC_BIND_PROXY_NIL,
160                      const sc_bind_proxy &p057 = SC_BIND_PROXY_NIL,
161                      const sc_bind_proxy &p058 = SC_BIND_PROXY_NIL,
162                      const sc_bind_proxy &p059 = SC_BIND_PROXY_NIL,
163                      const sc_bind_proxy &p060 = SC_BIND_PROXY_NIL,
164                      const sc_bind_proxy &p061 = SC_BIND_PROXY_NIL,
165                      const sc_bind_proxy &p062 = SC_BIND_PROXY_NIL,
166                      const sc_bind_proxy &p063 = SC_BIND_PROXY_NIL,
167                      const sc_bind_proxy &p064 = SC_BIND_PROXY_NIL);
168
169    // Deprecated
170    sc_module &operator << (sc_interface &);
171    sc_module &operator << (sc_port_base &);
172    sc_module &operator , (sc_interface &);
173    sc_module &operator , (sc_port_base &);
174
175    virtual const std::vector<sc_object *> &get_child_objects() const;
176    virtual const std::vector<sc_event *> &get_child_events() const;
177
178  protected:
179    sc_module(const sc_module_name &);
180    sc_module();
181
182    // Deprecated
183    sc_module(const char *);
184    sc_module(const std::string &);
185
186    /* Deprecated, but used in the regression tests. */
187    void end_module();
188
189    void reset_signal_is(const sc_in<bool> &, bool);
190    void reset_signal_is(const sc_inout<bool> &, bool);
191    void reset_signal_is(const sc_out<bool> &, bool);
192    void reset_signal_is(const sc_signal_in_if<bool> &, bool);
193
194    void async_reset_signal_is(const sc_in<bool> &, bool);
195    void async_reset_signal_is(const sc_inout<bool> &, bool);
196    void async_reset_signal_is(const sc_out<bool> &, bool);
197    void async_reset_signal_is(const sc_signal_in_if<bool> &, bool);
198
199    sc_sensitive sensitive;
200
201    void dont_initialize();
202    void set_stack_size(size_t);
203
204    void next_trigger();
205    void next_trigger(const sc_event &);
206    void next_trigger(const sc_event_or_list &);
207    void next_trigger(const sc_event_and_list &);
208    void next_trigger(const sc_time &);
209    void next_trigger(double, sc_time_unit);
210    void next_trigger(const sc_time &, const sc_event &);
211    void next_trigger(double, sc_time_unit, const sc_event &);
212    void next_trigger(const sc_time &, const sc_event_or_list &);
213    void next_trigger(double, sc_time_unit, const sc_event_or_list &);
214    void next_trigger(const sc_time &, const sc_event_and_list &);
215    void next_trigger(double, sc_time_unit, const sc_event_and_list &);
216
217    // Nonstandard
218    bool timed_out();
219
220    void wait();
221    void wait(int);
222    void wait(const sc_event &);
223    void wait(const sc_event_or_list &);
224    void wait(const sc_event_and_list &);
225    void wait(const sc_time &);
226    void wait(double, sc_time_unit);
227    void wait(const sc_time &, const sc_event &);
228    void wait(double, sc_time_unit, const sc_event &);
229    void wait(const sc_time &, const sc_event_or_list &);
230    void wait(double, sc_time_unit, const sc_event_or_list &);
231    void wait(const sc_time &, const sc_event_and_list &);
232    void wait(double, sc_time_unit, const sc_event_and_list &);
233
234    // Nonstandard
235    void halt();
236    void at_posedge(const sc_signal_in_if<bool> &);
237    void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
238    void at_negedge(const sc_signal_in_if<bool> &);
239    void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
240
241    virtual void before_end_of_elaboration() {}
242    virtual void end_of_elaboration() {}
243    virtual void start_of_simulation() {}
244    virtual void end_of_simulation() {}
245
246  private:
247    sc_gem5::Module *_gem5_module;
248
249    // Disabled
250    sc_module(const sc_module &) : sc_object() {};
251    sc_module &operator = (const sc_module &) { return *this; }
252};
253
254void next_trigger();
255void next_trigger(const sc_event &);
256void next_trigger(const sc_event_or_list &);
257void next_trigger(const sc_event_and_list &);
258void next_trigger(const sc_time &);
259void next_trigger(double, sc_time_unit);
260void next_trigger(const sc_time &, const sc_event &);
261void next_trigger(double, sc_time_unit, const sc_event &);
262void next_trigger(const sc_time &, const sc_event_or_list &);
263void next_trigger(double, sc_time_unit, const sc_event_or_list &);
264void next_trigger(const sc_time &, const sc_event_and_list &);
265void next_trigger(double, sc_time_unit, const sc_event_and_list &);
266
267void wait();
268void wait(int);
269void wait(const sc_event &);
270void wait(const sc_event_or_list &);
271void wait(const sc_event_and_list &);
272void wait(const sc_time &);
273void wait(double, sc_time_unit);
274void wait(const sc_time &, const sc_event &);
275void wait(double, sc_time_unit, const sc_event &);
276void wait(const sc_time &, const sc_event_or_list &);
277void wait(double, sc_time_unit, const sc_event_or_list &);
278void wait(const sc_time &, const sc_event_and_list &);
279void wait(double, sc_time_unit, const sc_event_and_list &);
280
281// Nonstandard
282bool timed_out();
283
284#define SC_MODULE(name) struct name : ::sc_core::sc_module
285
286#define SC_CTOR(name) \
287    typedef name SC_CURRENT_USER_MODULE; \
288    name(::sc_core::sc_module_name)
289
290#define SC_HAS_PROCESS(name) typedef name SC_CURRENT_USER_MODULE
291
292#define SC_METHOD(name) \
293    { \
294        ::sc_gem5::Process *p = \
295            ::sc_gem5::newMethodProcess( \
296                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
297                    SC_CURRENT_USER_MODULE>(this, \
298                        &SC_CURRENT_USER_MODULE::name)); \
299        if (p) \
300            this->sensitive << p; \
301    }
302#define SC_THREAD(name) \
303    { \
304        ::sc_gem5::Process *p = \
305            ::sc_gem5::newThreadProcess( \
306                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
307                    SC_CURRENT_USER_MODULE>(this, \
308                        &SC_CURRENT_USER_MODULE::name)); \
309        if (p) \
310            this->sensitive << p; \
311    }
312#define SC_CTHREAD(name, clk) \
313    { \
314        ::sc_gem5::Process *p = \
315            ::sc_gem5::newCThreadProcess( \
316                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
317                    SC_CURRENT_USER_MODULE>(this, \
318                        &SC_CURRENT_USER_MODULE::name)); \
319        if (p) \
320            this->sensitive(p, clk); \
321    }
322
323// Nonstandard
324// Documentation for this is very scarce, but it looks like it's supposed to
325// stop the currently executing cthread, or if a cthread isn't running report
326// an error.
327void halt();
328void at_posedge(const sc_signal_in_if<bool> &);
329void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
330void at_negedge(const sc_signal_in_if<bool> &);
331void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
332
333const char *sc_gen_unique_name(const char *);
334
335// Nonstandard
336bool sc_hierarchical_name_exists(const char *name);
337
338typedef sc_module sc_behavior;
339typedef sc_module sc_channel;
340
341bool sc_start_of_simulation_invoked();
342bool sc_end_of_simulation_invoked();
343
344// Nonstandard
345// Allocates a module of type x and records a pointer to it so that it gets
346// destructed automatically at the end of the simulation.
347sc_module *sc_module_sc_new(sc_module *);
348#define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);
349
350// Nonstandard
351#define SC_WAIT() \
352    ::sc_core::sc_set_location(__FILE__, __LINE__); \
353    ::sc_core::wait(); \
354    ::sc_core::sc_set_location(NULL, 0)
355
356// Nonstandard
357#define SC_WAITN(n) \
358    ::sc_core::sc_set_location(__FILE__, __LINE__); \
359    ::sc_core::wait(n); \
360    ::sc_core::sc_set_location(NULL, 0)
361
362// Nonstandard
363#define SC_WAIT_UNTIL(expr) \
364    do { SC_WAIT(); } while (!(expr))
365
366} // namespace sc_core
367
368#endif  //__SYSTEMC_EXT_CORE_SC_MODULE_HH__
369