sc_module.hh revision 13191
1/*
2 * Copyright 2018 Google, Inc.
3 *
4 * Redistribution and use in source and binary forms, with or without
5 * modification, are permitted provided that the following conditions are
6 * met: redistributions of source code must retain the above copyright
7 * notice, this list of conditions and the following disclaimer;
8 * redistributions in binary form must reproduce the above copyright
9 * notice, this list of conditions and the following disclaimer in the
10 * documentation and/or other materials provided with the distribution;
11 * neither the name of the copyright holders nor the names of its
12 * contributors may be used to endorse or promote products derived from
13 * this software without specific prior written permission.
14 *
15 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
16 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
17 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
18 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
19 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
20 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
21 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
22 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
23 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
24 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
25 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
26 *
27 * Authors: Gabe Black
28 */
29
30#ifndef __SYSTEMC_CORE_EXT_SC_MODULE_HH__
31#define __SYSTEMC_CORE_EXT_SC_MODULE_HH__
32
33#include <vector>
34
35#include "sc_object.hh"
36#include "sc_process_handle.hh"
37#include "sc_sensitive.hh"
38#include "sc_time.hh"
39
40namespace sc_dt
41{
42
43class sc_logic;
44
45} // namespace sc_dt
46
47namespace sc_gem5
48{
49
50class Kernel;
51class Module;
52class Process;
53struct ProcessFuncWrapper;
54
55Process *newMethodProcess(const char *name, ProcessFuncWrapper *func);
56Process *newThreadProcess(const char *name, ProcessFuncWrapper *func);
57Process *newCThreadProcess(const char *name, ProcessFuncWrapper *func);
58
59} // namespace sc_gem5
60
61namespace sc_core
62{
63
64template <class T>
65class sc_in;
66template <class T>
67class sc_out;
68template <class T>
69class sc_inout;
70template <class T>
71class sc_signal_in_if;
72
73class sc_event;
74class sc_event_and_list;
75class sc_event_or_list;
76class sc_module_name;
77
78class sc_bind_proxy
79{
80  private:
81    sc_interface *_interface;
82    sc_port_base *_port;
83
84  public:
85    sc_bind_proxy(sc_interface &_interface);
86    sc_bind_proxy(sc_port_base &_port);
87
88    sc_interface *interface() const { return _interface; }
89    sc_port_base *port() const { return _port; }
90};
91
92extern const sc_bind_proxy SC_BIND_PROXY_NIL;
93
94class sc_module : public sc_object
95{
96  public:
97    friend class ::sc_gem5::Kernel;
98    friend class ::sc_gem5::Module;
99
100    virtual ~sc_module();
101
102    virtual const char *kind() const { return "sc_module"; }
103
104    void operator () (const sc_bind_proxy &p001,
105                      const sc_bind_proxy &p002 = SC_BIND_PROXY_NIL,
106                      const sc_bind_proxy &p003 = SC_BIND_PROXY_NIL,
107                      const sc_bind_proxy &p004 = SC_BIND_PROXY_NIL,
108                      const sc_bind_proxy &p005 = SC_BIND_PROXY_NIL,
109                      const sc_bind_proxy &p006 = SC_BIND_PROXY_NIL,
110                      const sc_bind_proxy &p007 = SC_BIND_PROXY_NIL,
111                      const sc_bind_proxy &p008 = SC_BIND_PROXY_NIL,
112                      const sc_bind_proxy &p009 = SC_BIND_PROXY_NIL,
113                      const sc_bind_proxy &p010 = SC_BIND_PROXY_NIL,
114                      const sc_bind_proxy &p011 = SC_BIND_PROXY_NIL,
115                      const sc_bind_proxy &p012 = SC_BIND_PROXY_NIL,
116                      const sc_bind_proxy &p013 = SC_BIND_PROXY_NIL,
117                      const sc_bind_proxy &p014 = SC_BIND_PROXY_NIL,
118                      const sc_bind_proxy &p015 = SC_BIND_PROXY_NIL,
119                      const sc_bind_proxy &p016 = SC_BIND_PROXY_NIL,
120                      const sc_bind_proxy &p017 = SC_BIND_PROXY_NIL,
121                      const sc_bind_proxy &p018 = SC_BIND_PROXY_NIL,
122                      const sc_bind_proxy &p019 = SC_BIND_PROXY_NIL,
123                      const sc_bind_proxy &p020 = SC_BIND_PROXY_NIL,
124                      const sc_bind_proxy &p021 = SC_BIND_PROXY_NIL,
125                      const sc_bind_proxy &p022 = SC_BIND_PROXY_NIL,
126                      const sc_bind_proxy &p023 = SC_BIND_PROXY_NIL,
127                      const sc_bind_proxy &p024 = SC_BIND_PROXY_NIL,
128                      const sc_bind_proxy &p025 = SC_BIND_PROXY_NIL,
129                      const sc_bind_proxy &p026 = SC_BIND_PROXY_NIL,
130                      const sc_bind_proxy &p027 = SC_BIND_PROXY_NIL,
131                      const sc_bind_proxy &p028 = SC_BIND_PROXY_NIL,
132                      const sc_bind_proxy &p029 = SC_BIND_PROXY_NIL,
133                      const sc_bind_proxy &p030 = SC_BIND_PROXY_NIL,
134                      const sc_bind_proxy &p031 = SC_BIND_PROXY_NIL,
135                      const sc_bind_proxy &p032 = SC_BIND_PROXY_NIL,
136                      const sc_bind_proxy &p033 = SC_BIND_PROXY_NIL,
137                      const sc_bind_proxy &p034 = SC_BIND_PROXY_NIL,
138                      const sc_bind_proxy &p035 = SC_BIND_PROXY_NIL,
139                      const sc_bind_proxy &p036 = SC_BIND_PROXY_NIL,
140                      const sc_bind_proxy &p037 = SC_BIND_PROXY_NIL,
141                      const sc_bind_proxy &p038 = SC_BIND_PROXY_NIL,
142                      const sc_bind_proxy &p039 = SC_BIND_PROXY_NIL,
143                      const sc_bind_proxy &p040 = SC_BIND_PROXY_NIL,
144                      const sc_bind_proxy &p041 = SC_BIND_PROXY_NIL,
145                      const sc_bind_proxy &p042 = SC_BIND_PROXY_NIL,
146                      const sc_bind_proxy &p043 = SC_BIND_PROXY_NIL,
147                      const sc_bind_proxy &p044 = SC_BIND_PROXY_NIL,
148                      const sc_bind_proxy &p045 = SC_BIND_PROXY_NIL,
149                      const sc_bind_proxy &p046 = SC_BIND_PROXY_NIL,
150                      const sc_bind_proxy &p047 = SC_BIND_PROXY_NIL,
151                      const sc_bind_proxy &p048 = SC_BIND_PROXY_NIL,
152                      const sc_bind_proxy &p049 = SC_BIND_PROXY_NIL,
153                      const sc_bind_proxy &p050 = SC_BIND_PROXY_NIL,
154                      const sc_bind_proxy &p051 = SC_BIND_PROXY_NIL,
155                      const sc_bind_proxy &p052 = SC_BIND_PROXY_NIL,
156                      const sc_bind_proxy &p053 = SC_BIND_PROXY_NIL,
157                      const sc_bind_proxy &p054 = SC_BIND_PROXY_NIL,
158                      const sc_bind_proxy &p055 = SC_BIND_PROXY_NIL,
159                      const sc_bind_proxy &p056 = SC_BIND_PROXY_NIL,
160                      const sc_bind_proxy &p057 = SC_BIND_PROXY_NIL,
161                      const sc_bind_proxy &p058 = SC_BIND_PROXY_NIL,
162                      const sc_bind_proxy &p059 = SC_BIND_PROXY_NIL,
163                      const sc_bind_proxy &p060 = SC_BIND_PROXY_NIL,
164                      const sc_bind_proxy &p061 = SC_BIND_PROXY_NIL,
165                      const sc_bind_proxy &p062 = SC_BIND_PROXY_NIL,
166                      const sc_bind_proxy &p063 = SC_BIND_PROXY_NIL,
167                      const sc_bind_proxy &p064 = SC_BIND_PROXY_NIL);
168
169    virtual const std::vector<sc_object *> &get_child_objects() const;
170    virtual const std::vector<sc_event *> &get_child_events() const;
171
172  protected:
173    sc_module(const sc_module_name &);
174    sc_module();
175
176    // Deprecated
177    sc_module(const char *);
178    sc_module(const std::string &);
179
180    /* Deprecated, but used in the regression tests. */
181    void end_module();
182
183    void reset_signal_is(const sc_in<bool> &, bool);
184    void reset_signal_is(const sc_inout<bool> &, bool);
185    void reset_signal_is(const sc_out<bool> &, bool);
186    void reset_signal_is(const sc_signal_in_if<bool> &, bool);
187
188    void async_reset_signal_is(const sc_in<bool> &, bool);
189    void async_reset_signal_is(const sc_inout<bool> &, bool);
190    void async_reset_signal_is(const sc_out<bool> &, bool);
191    void async_reset_signal_is(const sc_signal_in_if<bool> &, bool);
192
193    sc_sensitive sensitive;
194
195    void dont_initialize();
196    void set_stack_size(size_t);
197
198    void next_trigger();
199    void next_trigger(const sc_event &);
200    void next_trigger(const sc_event_or_list &);
201    void next_trigger(const sc_event_and_list &);
202    void next_trigger(const sc_time &);
203    void next_trigger(double, sc_time_unit);
204    void next_trigger(const sc_time &, const sc_event &);
205    void next_trigger(double, sc_time_unit, const sc_event &);
206    void next_trigger(const sc_time &, const sc_event_or_list &);
207    void next_trigger(double, sc_time_unit, const sc_event_or_list &);
208    void next_trigger(const sc_time &, const sc_event_and_list &);
209    void next_trigger(double, sc_time_unit, const sc_event_and_list &);
210
211    // Nonstandard
212    bool timed_out();
213
214    void wait();
215    void wait(int);
216    void wait(const sc_event &);
217    void wait(const sc_event_or_list &);
218    void wait(const sc_event_and_list &);
219    void wait(const sc_time &);
220    void wait(double, sc_time_unit);
221    void wait(const sc_time &, const sc_event &);
222    void wait(double, sc_time_unit, const sc_event &);
223    void wait(const sc_time &, const sc_event_or_list &);
224    void wait(double, sc_time_unit, const sc_event_or_list &);
225    void wait(const sc_time &, const sc_event_and_list &);
226    void wait(double, sc_time_unit, const sc_event_and_list &);
227
228    // Nonstandard
229    void halt();
230    void at_posedge(const sc_signal_in_if<bool> &);
231    void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
232    void at_negedge(const sc_signal_in_if<bool> &);
233    void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
234
235    virtual void before_end_of_elaboration() {}
236    virtual void end_of_elaboration() {}
237    virtual void start_of_simulation() {}
238    virtual void end_of_simulation() {}
239
240  private:
241    sc_gem5::Module *_gem5_module;
242
243    // Disabled
244    sc_module(const sc_module &) : sc_object() {};
245    sc_module &operator = (const sc_module &) { return *this; }
246};
247
248void next_trigger();
249void next_trigger(const sc_event &);
250void next_trigger(const sc_event_or_list &);
251void next_trigger(const sc_event_and_list &);
252void next_trigger(const sc_time &);
253void next_trigger(double, sc_time_unit);
254void next_trigger(const sc_time &, const sc_event &);
255void next_trigger(double, sc_time_unit, const sc_event &);
256void next_trigger(const sc_time &, const sc_event_or_list &);
257void next_trigger(double, sc_time_unit, const sc_event_or_list &);
258void next_trigger(const sc_time &, const sc_event_and_list &);
259void next_trigger(double, sc_time_unit, const sc_event_and_list &);
260
261void wait();
262void wait(int);
263void wait(const sc_event &);
264void wait(const sc_event_or_list &);
265void wait(const sc_event_and_list &);
266void wait(const sc_time &);
267void wait(double, sc_time_unit);
268void wait(const sc_time &, const sc_event &);
269void wait(double, sc_time_unit, const sc_event &);
270void wait(const sc_time &, const sc_event_or_list &);
271void wait(double, sc_time_unit, const sc_event_or_list &);
272void wait(const sc_time &, const sc_event_and_list &);
273void wait(double, sc_time_unit, const sc_event_and_list &);
274
275// Nonstandard
276bool timed_out();
277
278#define SC_MODULE(name) struct name : ::sc_core::sc_module
279
280#define SC_CTOR(name) \
281    typedef name SC_CURRENT_USER_MODULE; \
282    name(::sc_core::sc_module_name)
283
284#define SC_HAS_PROCESS(name) typedef name SC_CURRENT_USER_MODULE
285
286#define SC_METHOD(name) \
287    { \
288        ::sc_gem5::Process *p = \
289            ::sc_gem5::newMethodProcess( \
290                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
291                    SC_CURRENT_USER_MODULE>(this, \
292                        &SC_CURRENT_USER_MODULE::name)); \
293        if (p) \
294            this->sensitive << p; \
295    }
296#define SC_THREAD(name) \
297    { \
298        ::sc_gem5::Process *p = \
299            ::sc_gem5::newThreadProcess( \
300                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
301                    SC_CURRENT_USER_MODULE>(this, \
302                        &SC_CURRENT_USER_MODULE::name)); \
303        if (p) \
304            this->sensitive << p; \
305    }
306#define SC_CTHREAD(name, clk) \
307    { \
308        ::sc_gem5::Process *p = \
309            ::sc_gem5::newCThreadProcess( \
310                #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
311                    SC_CURRENT_USER_MODULE>(this, \
312                        &SC_CURRENT_USER_MODULE::name)); \
313        if (p) { \
314            this->sensitive << p; \
315            this->sensitive << clk; \
316        } \
317    }
318
319// Nonstandard
320// Documentation for this is very scarce, but it looks like it's supposed to
321// stop the currently executing cthread, or if a cthread isn't running report
322// an error.
323void halt();
324void at_posedge(const sc_signal_in_if<bool> &);
325void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
326void at_negedge(const sc_signal_in_if<bool> &);
327void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
328
329const char *sc_gen_unique_name(const char *);
330
331// Nonstandard
332bool sc_hierarchical_name_exists(const char *name);
333
334typedef sc_module sc_behavior;
335typedef sc_module sc_channel;
336
337bool sc_start_of_simulation_invoked();
338bool sc_end_of_simulation_invoked();
339
340// Nonstandard
341// Allocates a module of type x and records a pointer to it so that it gets
342// destructed automatically at the end of the simulation.
343sc_module *sc_module_sc_new(sc_module *);
344#define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);
345
346// Nonstandard
347#define SC_WAIT() \
348    ::sc_core::sc_set_location(__FILE__, __LINE__); \
349    ::sc_core::wait(); \
350    ::sc_core::sc_set_location(NULL, 0)
351
352// Nonstandard
353#define SC_WAITN(n) \
354    ::sc_core::sc_set_location(__FILE__, __LINE__); \
355    ::sc_core::wait(n); \
356    ::sc_core::sc_set_location(NULL, 0)
357
358// Nonstandard
359#define SC_WAIT_UNTIL(expr) \
360    do { SC_WAIT(); } while (!(expr))
361
362} // namespace sc_core
363
364#endif  //__SYSTEMC_EXT_CORE_SC_MODULE_HH__
365