process.cc revision 6140
14166Sgblack@eecs.umich.edu/*
24166Sgblack@eecs.umich.edu * Copyright (c) 2003-2006 The Regents of The University of Michigan
34166Sgblack@eecs.umich.edu * All rights reserved.
44166Sgblack@eecs.umich.edu *
54166Sgblack@eecs.umich.edu * Redistribution and use in source and binary forms, with or without
64166Sgblack@eecs.umich.edu * modification, are permitted provided that the following conditions are
74166Sgblack@eecs.umich.edu * met: redistributions of source code must retain the above copyright
84166Sgblack@eecs.umich.edu * notice, this list of conditions and the following disclaimer;
94166Sgblack@eecs.umich.edu * redistributions in binary form must reproduce the above copyright
104166Sgblack@eecs.umich.edu * notice, this list of conditions and the following disclaimer in the
114166Sgblack@eecs.umich.edu * documentation and/or other materials provided with the distribution;
124166Sgblack@eecs.umich.edu * neither the name of the copyright holders nor the names of its
134166Sgblack@eecs.umich.edu * contributors may be used to endorse or promote products derived from
144166Sgblack@eecs.umich.edu * this software without specific prior written permission.
154166Sgblack@eecs.umich.edu *
164166Sgblack@eecs.umich.edu * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
174166Sgblack@eecs.umich.edu * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
184166Sgblack@eecs.umich.edu * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
194166Sgblack@eecs.umich.edu * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
204166Sgblack@eecs.umich.edu * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
214166Sgblack@eecs.umich.edu * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
224166Sgblack@eecs.umich.edu * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
234166Sgblack@eecs.umich.edu * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
244166Sgblack@eecs.umich.edu * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
254166Sgblack@eecs.umich.edu * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
264166Sgblack@eecs.umich.edu * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
274166Sgblack@eecs.umich.edu *
284166Sgblack@eecs.umich.edu * Authors: Gabe Black
294166Sgblack@eecs.umich.edu *          Ali Saidi
304166Sgblack@eecs.umich.edu */
314166Sgblack@eecs.umich.edu
324166Sgblack@eecs.umich.edu/*
334166Sgblack@eecs.umich.edu * Copyright (c) 2007 The Hewlett-Packard Development Company
344166Sgblack@eecs.umich.edu * All rights reserved.
354166Sgblack@eecs.umich.edu *
364166Sgblack@eecs.umich.edu * Redistribution and use of this software in source and binary forms,
374166Sgblack@eecs.umich.edu * with or without modification, are permitted provided that the
384166Sgblack@eecs.umich.edu * following conditions are met:
394166Sgblack@eecs.umich.edu *
404166Sgblack@eecs.umich.edu * The software must be used only for Non-Commercial Use which means any
414166Sgblack@eecs.umich.edu * use which is NOT directed to receiving any direct monetary
424166Sgblack@eecs.umich.edu * compensation for, or commercial advantage from such use.  Illustrative
434166Sgblack@eecs.umich.edu * examples of non-commercial use are academic research, personal study,
444166Sgblack@eecs.umich.edu * teaching, education and corporate research & development.
454166Sgblack@eecs.umich.edu * Illustrative examples of commercial use are distributing products for
464166Sgblack@eecs.umich.edu * commercial advantage and providing services using the software for
474166Sgblack@eecs.umich.edu * commercial advantage.
484166Sgblack@eecs.umich.edu *
494166Sgblack@eecs.umich.edu * If you wish to use this software or functionality therein that may be
504166Sgblack@eecs.umich.edu * covered by patents for commercial use, please contact:
514166Sgblack@eecs.umich.edu *     Director of Intellectual Property Licensing
524166Sgblack@eecs.umich.edu *     Office of Strategy and Technology
534166Sgblack@eecs.umich.edu *     Hewlett-Packard Company
544166Sgblack@eecs.umich.edu *     1501 Page Mill Road
554166Sgblack@eecs.umich.edu *     Palo Alto, California  94304
564166Sgblack@eecs.umich.edu *
574166Sgblack@eecs.umich.edu * Redistributions of source code must retain the above copyright notice,
584166Sgblack@eecs.umich.edu * this list of conditions and the following disclaimer.  Redistributions
594166Sgblack@eecs.umich.edu * in binary form must reproduce the above copyright notice, this list of
604166Sgblack@eecs.umich.edu * conditions and the following disclaimer in the documentation and/or
614166Sgblack@eecs.umich.edu * other materials provided with the distribution.  Neither the name of
624166Sgblack@eecs.umich.edu * the COPYRIGHT HOLDER(s), HEWLETT-PACKARD COMPANY, nor the names of its
634166Sgblack@eecs.umich.edu * contributors may be used to endorse or promote products derived from
644166Sgblack@eecs.umich.edu * this software without specific prior written permission.  No right of
654166Sgblack@eecs.umich.edu * sublicense is granted herewith.  Derivatives of the software and
664166Sgblack@eecs.umich.edu * output created using the software may be prepared, but only for
674166Sgblack@eecs.umich.edu * Non-Commercial Uses.  Derivatives of the software may be shared with
684166Sgblack@eecs.umich.edu * others provided: (i) the others agree to abide by the list of
694166Sgblack@eecs.umich.edu * conditions herein which includes the Non-Commercial Use restrictions;
704166Sgblack@eecs.umich.edu * and (ii) such Derivatives of the software include the above copyright
714166Sgblack@eecs.umich.edu * notice to acknowledge the contribution from this software where
724166Sgblack@eecs.umich.edu * applicable, this list of conditions and the disclaimer below.
734166Sgblack@eecs.umich.edu *
744166Sgblack@eecs.umich.edu * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
754166Sgblack@eecs.umich.edu * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
764166Sgblack@eecs.umich.edu * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
774166Sgblack@eecs.umich.edu * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
784166Sgblack@eecs.umich.edu * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
794166Sgblack@eecs.umich.edu * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
804166Sgblack@eecs.umich.edu * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
814166Sgblack@eecs.umich.edu * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
824166Sgblack@eecs.umich.edu * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
834166Sgblack@eecs.umich.edu * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
844166Sgblack@eecs.umich.edu * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
854166Sgblack@eecs.umich.edu *
864166Sgblack@eecs.umich.edu * Authors: Gabe Black
874166Sgblack@eecs.umich.edu */
884166Sgblack@eecs.umich.edu
894166Sgblack@eecs.umich.edu#include "arch/x86/isa_traits.hh"
904166Sgblack@eecs.umich.edu#include "arch/x86/process.hh"
914863Sgblack@eecs.umich.edu#include "arch/x86/segmentregs.hh"
924166Sgblack@eecs.umich.edu#include "arch/x86/types.hh"
934166Sgblack@eecs.umich.edu#include "base/loader/object_file.hh"
944166Sgblack@eecs.umich.edu#include "base/loader/elf_object.hh"
954166Sgblack@eecs.umich.edu#include "base/misc.hh"
965004Sgblack@eecs.umich.edu#include "base/trace.hh"
974166Sgblack@eecs.umich.edu#include "cpu/thread_context.hh"
984166Sgblack@eecs.umich.edu#include "mem/page_table.hh"
994166Sgblack@eecs.umich.edu#include "mem/translating_port.hh"
1004434Ssaidi@eecs.umich.edu#include "sim/process_impl.hh"
1015956Sgblack@eecs.umich.edu#include "sim/syscall_emul.hh"
1024166Sgblack@eecs.umich.edu#include "sim/system.hh"
1034166Sgblack@eecs.umich.edu
1044166Sgblack@eecs.umich.eduusing namespace std;
1054166Sgblack@eecs.umich.eduusing namespace X86ISA;
1064166Sgblack@eecs.umich.edu
1075958Sgblack@eecs.umich.edustatic const int ArgumentReg[] = {
1085958Sgblack@eecs.umich.edu    INTREG_RDI,
1095958Sgblack@eecs.umich.edu    INTREG_RSI,
1105958Sgblack@eecs.umich.edu    INTREG_RDX,
1115958Sgblack@eecs.umich.edu    //This argument register is r10 for syscalls and rcx for C.
1125958Sgblack@eecs.umich.edu    INTREG_R10W,
1135958Sgblack@eecs.umich.edu    //INTREG_RCX,
1145958Sgblack@eecs.umich.edu    INTREG_R8W,
1155958Sgblack@eecs.umich.edu    INTREG_R9W
1165958Sgblack@eecs.umich.edu};
1175958Sgblack@eecs.umich.edustatic const int NumArgumentRegs = sizeof(ArgumentReg) / sizeof(const int);
1185959Sgblack@eecs.umich.edustatic const int ArgumentReg32[] = {
1195959Sgblack@eecs.umich.edu    INTREG_EBX,
1205959Sgblack@eecs.umich.edu    INTREG_ECX,
1215959Sgblack@eecs.umich.edu    INTREG_EDX,
1225959Sgblack@eecs.umich.edu    INTREG_ESI,
1235959Sgblack@eecs.umich.edu    INTREG_EDI,
1245959Sgblack@eecs.umich.edu};
1255959Sgblack@eecs.umich.edustatic const int NumArgumentRegs32 = sizeof(ArgumentReg) / sizeof(const int);
1264166Sgblack@eecs.umich.edu
1275956Sgblack@eecs.umich.eduX86LiveProcess::X86LiveProcess(LiveProcessParams * params, ObjectFile *objFile,
1285956Sgblack@eecs.umich.edu        SyscallDesc *_syscallDescs, int _numSyscallDescs) :
1295956Sgblack@eecs.umich.edu    LiveProcess(params, objFile), syscallDescs(_syscallDescs),
1305956Sgblack@eecs.umich.edu    numSyscallDescs(_numSyscallDescs)
1314166Sgblack@eecs.umich.edu{
1324166Sgblack@eecs.umich.edu    brk_point = objFile->dataBase() + objFile->dataSize() + objFile->bssSize();
1334166Sgblack@eecs.umich.edu    brk_point = roundUp(brk_point, VMPageSize);
1345956Sgblack@eecs.umich.edu}
1354166Sgblack@eecs.umich.edu
1365956Sgblack@eecs.umich.eduX86_64LiveProcess::X86_64LiveProcess(LiveProcessParams *params,
1375956Sgblack@eecs.umich.edu        ObjectFile *objFile, SyscallDesc *_syscallDescs,
1385956Sgblack@eecs.umich.edu        int _numSyscallDescs) :
1395956Sgblack@eecs.umich.edu    X86LiveProcess(params, objFile, _syscallDescs, _numSyscallDescs)
1405956Sgblack@eecs.umich.edu{
1414786Sgblack@eecs.umich.edu    // Set up stack. On X86_64 Linux, stack goes from the top of memory
1424786Sgblack@eecs.umich.edu    // downward, less the hole for the kernel address space plus one page
1434786Sgblack@eecs.umich.edu    // for undertermined purposes.
1444793Sgblack@eecs.umich.edu    stack_base = (Addr)0x7FFFFFFFF000ULL;
1454166Sgblack@eecs.umich.edu
1465956Sgblack@eecs.umich.edu    // Set pointer for next thread stack.  Reserve 8M for main stack.
1475956Sgblack@eecs.umich.edu    next_thread_stack_base = stack_base - (8 * 1024 * 1024);
1485956Sgblack@eecs.umich.edu
1494820Sgblack@eecs.umich.edu    // Set up region for mmaps. This was determined empirically and may not
1504820Sgblack@eecs.umich.edu    // always be correct.
1515188Sgblack@eecs.umich.edu    mmap_start = mmap_end = (Addr)0x2aaaaaaab000ULL;
1524166Sgblack@eecs.umich.edu}
1534166Sgblack@eecs.umich.edu
1545973Sgblack@eecs.umich.eduvoid
1555973Sgblack@eecs.umich.eduI386LiveProcess::syscall(int64_t callnum, ThreadContext *tc)
1565973Sgblack@eecs.umich.edu{
1575973Sgblack@eecs.umich.edu    Addr eip = tc->readPC();
1585973Sgblack@eecs.umich.edu    if (eip >= vsyscallPage.base &&
1595973Sgblack@eecs.umich.edu            eip < vsyscallPage.base + vsyscallPage.size) {
1605973Sgblack@eecs.umich.edu        tc->setNextPC(vsyscallPage.base + vsyscallPage.vsysexitOffset);
1615973Sgblack@eecs.umich.edu    }
1625973Sgblack@eecs.umich.edu    X86LiveProcess::syscall(callnum, tc);
1635973Sgblack@eecs.umich.edu}
1645973Sgblack@eecs.umich.edu
1655973Sgblack@eecs.umich.edu
1665956Sgblack@eecs.umich.eduI386LiveProcess::I386LiveProcess(LiveProcessParams *params,
1675956Sgblack@eecs.umich.edu        ObjectFile *objFile, SyscallDesc *_syscallDescs,
1685956Sgblack@eecs.umich.edu        int _numSyscallDescs) :
1695956Sgblack@eecs.umich.edu    X86LiveProcess(params, objFile, _syscallDescs, _numSyscallDescs)
1704166Sgblack@eecs.umich.edu{
1715973Sgblack@eecs.umich.edu    _gdtStart = 0x100000000;
1725973Sgblack@eecs.umich.edu    _gdtSize = VMPageSize;
1735973Sgblack@eecs.umich.edu
1745973Sgblack@eecs.umich.edu    vsyscallPage.base = 0xffffe000ULL;
1755973Sgblack@eecs.umich.edu    vsyscallPage.size = VMPageSize;
1765973Sgblack@eecs.umich.edu    vsyscallPage.vsyscallOffset = 0x400;
1775973Sgblack@eecs.umich.edu    vsyscallPage.vsysexitOffset = 0x410;
1785973Sgblack@eecs.umich.edu
1795973Sgblack@eecs.umich.edu    stack_base = vsyscallPage.base;
1805956Sgblack@eecs.umich.edu
1815956Sgblack@eecs.umich.edu    // Set pointer for next thread stack.  Reserve 8M for main stack.
1825956Sgblack@eecs.umich.edu    next_thread_stack_base = stack_base - (8 * 1024 * 1024);
1835956Sgblack@eecs.umich.edu
1845956Sgblack@eecs.umich.edu    // Set up region for mmaps. This was determined empirically and may not
1855956Sgblack@eecs.umich.edu    // always be correct.
1865956Sgblack@eecs.umich.edu    mmap_start = mmap_end = (Addr)0xf7ffd000ULL;
1875956Sgblack@eecs.umich.edu}
1885956Sgblack@eecs.umich.edu
1895956Sgblack@eecs.umich.eduSyscallDesc*
1905956Sgblack@eecs.umich.eduX86LiveProcess::getDesc(int callnum)
1915956Sgblack@eecs.umich.edu{
1925956Sgblack@eecs.umich.edu    if (callnum < 0 || callnum >= numSyscallDescs)
1935956Sgblack@eecs.umich.edu        return NULL;
1945956Sgblack@eecs.umich.edu    return &syscallDescs[callnum];
1954166Sgblack@eecs.umich.edu}
1964166Sgblack@eecs.umich.edu
1974166Sgblack@eecs.umich.eduvoid
1985956Sgblack@eecs.umich.eduX86_64LiveProcess::startup()
1994166Sgblack@eecs.umich.edu{
2005956Sgblack@eecs.umich.edu    LiveProcess::startup();
2015956Sgblack@eecs.umich.edu
2025183Ssaidi@eecs.umich.edu    if (checkpointRestored)
2035183Ssaidi@eecs.umich.edu        return;
2045183Ssaidi@eecs.umich.edu
2055956Sgblack@eecs.umich.edu    argsInit(sizeof(uint64_t), VMPageSize);
2065140Sgblack@eecs.umich.edu
2075713Shsul@eecs.umich.edu    for (int i = 0; i < contextIds.size(); i++) {
2085713Shsul@eecs.umich.edu        ThreadContext * tc = system->getThreadContext(contextIds[i]);
2095140Sgblack@eecs.umich.edu
2105140Sgblack@eecs.umich.edu        SegAttr dataAttr = 0;
2115140Sgblack@eecs.umich.edu        dataAttr.writable = 1;
2125140Sgblack@eecs.umich.edu        dataAttr.readable = 1;
2135140Sgblack@eecs.umich.edu        dataAttr.expandDown = 0;
2145140Sgblack@eecs.umich.edu        dataAttr.dpl = 3;
2155140Sgblack@eecs.umich.edu        dataAttr.defaultSize = 0;
2165140Sgblack@eecs.umich.edu        dataAttr.longMode = 1;
2175140Sgblack@eecs.umich.edu
2185140Sgblack@eecs.umich.edu        //Initialize the segment registers.
2195140Sgblack@eecs.umich.edu        for(int seg = 0; seg < NUM_SEGMENTREGS; seg++) {
2205140Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_BASE(seg), 0);
2215289Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_EFF_BASE(seg), 0);
2225140Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_ATTR(seg), dataAttr);
2235140Sgblack@eecs.umich.edu        }
2245140Sgblack@eecs.umich.edu
2255140Sgblack@eecs.umich.edu        SegAttr csAttr = 0;
2265140Sgblack@eecs.umich.edu        csAttr.writable = 0;
2275140Sgblack@eecs.umich.edu        csAttr.readable = 1;
2285140Sgblack@eecs.umich.edu        csAttr.expandDown = 0;
2295140Sgblack@eecs.umich.edu        csAttr.dpl = 3;
2305140Sgblack@eecs.umich.edu        csAttr.defaultSize = 0;
2315140Sgblack@eecs.umich.edu        csAttr.longMode = 1;
2325140Sgblack@eecs.umich.edu
2335140Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_CS_ATTR, csAttr);
2345140Sgblack@eecs.umich.edu
2356140Sgblack@eecs.umich.edu        Efer efer = 0;
2366140Sgblack@eecs.umich.edu        efer.sce = 1; // Enable system call extensions.
2376140Sgblack@eecs.umich.edu        efer.lme = 1; // Enable long mode.
2386140Sgblack@eecs.umich.edu        efer.lma = 1; // Activate long mode.
2396140Sgblack@eecs.umich.edu        efer.nxe = 1; // Enable nx support.
2406140Sgblack@eecs.umich.edu        efer.svme = 0; // Disable svm support for now. It isn't implemented.
2416140Sgblack@eecs.umich.edu        efer.ffxsr = 1; // Turn on fast fxsave and fxrstor.
2426140Sgblack@eecs.umich.edu        tc->setMiscReg(MISCREG_EFER, efer);
2436140Sgblack@eecs.umich.edu
2445140Sgblack@eecs.umich.edu        //Set up the registers that describe the operating mode.
2455140Sgblack@eecs.umich.edu        CR0 cr0 = 0;
2465140Sgblack@eecs.umich.edu        cr0.pg = 1; // Turn on paging.
2475140Sgblack@eecs.umich.edu        cr0.cd = 0; // Don't disable caching.
2485140Sgblack@eecs.umich.edu        cr0.nw = 0; // This is bit is defined to be ignored.
2495140Sgblack@eecs.umich.edu        cr0.am = 0; // No alignment checking
2505140Sgblack@eecs.umich.edu        cr0.wp = 0; // Supervisor mode can write read only pages
2515140Sgblack@eecs.umich.edu        cr0.ne = 1;
2525140Sgblack@eecs.umich.edu        cr0.et = 1; // This should always be 1
2535140Sgblack@eecs.umich.edu        cr0.ts = 0; // We don't do task switching, so causing fp exceptions
2545140Sgblack@eecs.umich.edu                    // would be pointless.
2555140Sgblack@eecs.umich.edu        cr0.em = 0; // Allow x87 instructions to execute natively.
2565140Sgblack@eecs.umich.edu        cr0.mp = 1; // This doesn't really matter, but the manual suggests
2575140Sgblack@eecs.umich.edu                    // setting it to one.
2585140Sgblack@eecs.umich.edu        cr0.pe = 1; // We're definitely in protected mode.
2595140Sgblack@eecs.umich.edu        tc->setMiscReg(MISCREG_CR0, cr0);
2605140Sgblack@eecs.umich.edu    }
2614166Sgblack@eecs.umich.edu}
2624166Sgblack@eecs.umich.edu
2634166Sgblack@eecs.umich.eduvoid
2645956Sgblack@eecs.umich.eduI386LiveProcess::startup()
2654166Sgblack@eecs.umich.edu{
2665956Sgblack@eecs.umich.edu    LiveProcess::startup();
2675956Sgblack@eecs.umich.edu
2685956Sgblack@eecs.umich.edu    if (checkpointRestored)
2695956Sgblack@eecs.umich.edu        return;
2705956Sgblack@eecs.umich.edu
2715956Sgblack@eecs.umich.edu    argsInit(sizeof(uint32_t), VMPageSize);
2725956Sgblack@eecs.umich.edu
2735962Sgblack@eecs.umich.edu    /*
2745962Sgblack@eecs.umich.edu     * Set up a GDT for this process. The whole GDT wouldn't really be for
2755962Sgblack@eecs.umich.edu     * this process, but the only parts we care about are.
2765962Sgblack@eecs.umich.edu     */
2775962Sgblack@eecs.umich.edu    pTable->allocate(_gdtStart, _gdtSize);
2785962Sgblack@eecs.umich.edu    uint64_t zero = 0;
2795962Sgblack@eecs.umich.edu    assert(_gdtSize % sizeof(zero) == 0);
2805962Sgblack@eecs.umich.edu    for (Addr gdtCurrent = _gdtStart;
2815962Sgblack@eecs.umich.edu            gdtCurrent < _gdtStart + _gdtSize; gdtCurrent += sizeof(zero)) {
2825962Sgblack@eecs.umich.edu        initVirtMem->write(gdtCurrent, zero);
2835962Sgblack@eecs.umich.edu    }
2845962Sgblack@eecs.umich.edu
2855973Sgblack@eecs.umich.edu    // Set up the vsyscall page for this process.
2865973Sgblack@eecs.umich.edu    pTable->allocate(vsyscallPage.base, vsyscallPage.size);
2875973Sgblack@eecs.umich.edu    uint8_t vsyscallBlob[] = {
2885973Sgblack@eecs.umich.edu        0x51,       // push %ecx
2895973Sgblack@eecs.umich.edu        0x52,       // push %edp
2905973Sgblack@eecs.umich.edu        0x55,       // push %ebp
2915973Sgblack@eecs.umich.edu        0x89, 0xe5, // mov %esp, %ebp
2925973Sgblack@eecs.umich.edu        0x0f, 0x34  // sysenter
2935973Sgblack@eecs.umich.edu    };
2945973Sgblack@eecs.umich.edu    initVirtMem->writeBlob(vsyscallPage.base + vsyscallPage.vsyscallOffset,
2955973Sgblack@eecs.umich.edu            vsyscallBlob, sizeof(vsyscallBlob));
2965973Sgblack@eecs.umich.edu
2975973Sgblack@eecs.umich.edu    uint8_t vsysexitBlob[] = {
2985973Sgblack@eecs.umich.edu        0x5d,       // pop %ebp
2995973Sgblack@eecs.umich.edu        0x5a,       // pop %edx
3005973Sgblack@eecs.umich.edu        0x59,       // pop %ecx
3015973Sgblack@eecs.umich.edu        0xc3        // ret
3025973Sgblack@eecs.umich.edu    };
3035973Sgblack@eecs.umich.edu    initVirtMem->writeBlob(vsyscallPage.base + vsyscallPage.vsysexitOffset,
3045973Sgblack@eecs.umich.edu            vsysexitBlob, sizeof(vsysexitBlob));
3055973Sgblack@eecs.umich.edu
3065956Sgblack@eecs.umich.edu    for (int i = 0; i < contextIds.size(); i++) {
3075956Sgblack@eecs.umich.edu        ThreadContext * tc = system->getThreadContext(contextIds[i]);
3085956Sgblack@eecs.umich.edu
3095956Sgblack@eecs.umich.edu        SegAttr dataAttr = 0;
3105956Sgblack@eecs.umich.edu        dataAttr.writable = 1;
3115956Sgblack@eecs.umich.edu        dataAttr.readable = 1;
3125956Sgblack@eecs.umich.edu        dataAttr.expandDown = 0;
3135956Sgblack@eecs.umich.edu        dataAttr.dpl = 3;
3145956Sgblack@eecs.umich.edu        dataAttr.defaultSize = 1;
3155956Sgblack@eecs.umich.edu        dataAttr.longMode = 0;
3165956Sgblack@eecs.umich.edu
3175956Sgblack@eecs.umich.edu        //Initialize the segment registers.
3185956Sgblack@eecs.umich.edu        for(int seg = 0; seg < NUM_SEGMENTREGS; seg++) {
3195956Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_BASE(seg), 0);
3205956Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_EFF_BASE(seg), 0);
3215956Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_ATTR(seg), dataAttr);
3225956Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_SEL(seg), 0xB);
3235959Sgblack@eecs.umich.edu            tc->setMiscRegNoEffect(MISCREG_SEG_LIMIT(seg), (uint32_t)(-1));
3245956Sgblack@eecs.umich.edu        }
3255956Sgblack@eecs.umich.edu
3265956Sgblack@eecs.umich.edu        SegAttr csAttr = 0;
3275956Sgblack@eecs.umich.edu        csAttr.writable = 0;
3285956Sgblack@eecs.umich.edu        csAttr.readable = 1;
3295956Sgblack@eecs.umich.edu        csAttr.expandDown = 0;
3305956Sgblack@eecs.umich.edu        csAttr.dpl = 3;
3315956Sgblack@eecs.umich.edu        csAttr.defaultSize = 1;
3325956Sgblack@eecs.umich.edu        csAttr.longMode = 0;
3335956Sgblack@eecs.umich.edu
3345956Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_CS_ATTR, csAttr);
3355956Sgblack@eecs.umich.edu
3365962Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_TSG_BASE, _gdtStart);
3375962Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_TSG_EFF_BASE, _gdtStart);
3385962Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_TSG_LIMIT, _gdtStart + _gdtSize - 1);
3395962Sgblack@eecs.umich.edu
3405963Sgblack@eecs.umich.edu        // Set the LDT selector to 0 to deactivate it.
3415963Sgblack@eecs.umich.edu        tc->setMiscRegNoEffect(MISCREG_TSL, 0);
3425963Sgblack@eecs.umich.edu
3436140Sgblack@eecs.umich.edu        Efer efer = 0;
3446140Sgblack@eecs.umich.edu        efer.sce = 1; // Enable system call extensions.
3456140Sgblack@eecs.umich.edu        efer.lme = 1; // Enable long mode.
3466140Sgblack@eecs.umich.edu        efer.lma = 0; // Deactivate long mode.
3476140Sgblack@eecs.umich.edu        efer.nxe = 1; // Enable nx support.
3486140Sgblack@eecs.umich.edu        efer.svme = 0; // Disable svm support for now. It isn't implemented.
3496140Sgblack@eecs.umich.edu        efer.ffxsr = 1; // Turn on fast fxsave and fxrstor.
3506140Sgblack@eecs.umich.edu        tc->setMiscReg(MISCREG_EFER, efer);
3516140Sgblack@eecs.umich.edu
3525956Sgblack@eecs.umich.edu        //Set up the registers that describe the operating mode.
3535956Sgblack@eecs.umich.edu        CR0 cr0 = 0;
3545956Sgblack@eecs.umich.edu        cr0.pg = 1; // Turn on paging.
3555956Sgblack@eecs.umich.edu        cr0.cd = 0; // Don't disable caching.
3565956Sgblack@eecs.umich.edu        cr0.nw = 0; // This is bit is defined to be ignored.
3575956Sgblack@eecs.umich.edu        cr0.am = 0; // No alignment checking
3585956Sgblack@eecs.umich.edu        cr0.wp = 0; // Supervisor mode can write read only pages
3595956Sgblack@eecs.umich.edu        cr0.ne = 1;
3605956Sgblack@eecs.umich.edu        cr0.et = 1; // This should always be 1
3615956Sgblack@eecs.umich.edu        cr0.ts = 0; // We don't do task switching, so causing fp exceptions
3625956Sgblack@eecs.umich.edu                    // would be pointless.
3635956Sgblack@eecs.umich.edu        cr0.em = 0; // Allow x87 instructions to execute natively.
3645956Sgblack@eecs.umich.edu        cr0.mp = 1; // This doesn't really matter, but the manual suggests
3655956Sgblack@eecs.umich.edu                    // setting it to one.
3665956Sgblack@eecs.umich.edu        cr0.pe = 1; // We're definitely in protected mode.
3675956Sgblack@eecs.umich.edu        tc->setMiscReg(MISCREG_CR0, cr0);
3685956Sgblack@eecs.umich.edu    }
3695956Sgblack@eecs.umich.edu}
3705956Sgblack@eecs.umich.edu
3715956Sgblack@eecs.umich.edutemplate<class IntType>
3725956Sgblack@eecs.umich.eduvoid
3735973Sgblack@eecs.umich.eduX86LiveProcess::argsInit(int pageSize,
3745973Sgblack@eecs.umich.edu        std::vector<AuxVector<IntType> > extraAuxvs)
3755956Sgblack@eecs.umich.edu{
3765956Sgblack@eecs.umich.edu    int intSize = sizeof(IntType);
3775956Sgblack@eecs.umich.edu
3785956Sgblack@eecs.umich.edu    typedef AuxVector<IntType> auxv_t;
3795973Sgblack@eecs.umich.edu    std::vector<auxv_t> auxv = extraAuxvs;
3805758Shsul@eecs.umich.edu
3814166Sgblack@eecs.umich.edu    string filename;
3824166Sgblack@eecs.umich.edu    if(argv.size() < 1)
3834166Sgblack@eecs.umich.edu        filename = "";
3844166Sgblack@eecs.umich.edu    else
3854166Sgblack@eecs.umich.edu        filename = argv[0];
3864166Sgblack@eecs.umich.edu
3874793Sgblack@eecs.umich.edu    //We want 16 byte alignment
3884849Sgblack@eecs.umich.edu    uint64_t align = 16;
3894166Sgblack@eecs.umich.edu
3904166Sgblack@eecs.umich.edu    // load object file into target memory
3914166Sgblack@eecs.umich.edu    objFile->loadSections(initVirtMem);
3924166Sgblack@eecs.umich.edu
3934793Sgblack@eecs.umich.edu    enum X86CpuFeature {
3944793Sgblack@eecs.umich.edu        X86_OnboardFPU = 1 << 0,
3954793Sgblack@eecs.umich.edu        X86_VirtualModeExtensions = 1 << 1,
3964793Sgblack@eecs.umich.edu        X86_DebuggingExtensions = 1 << 2,
3974793Sgblack@eecs.umich.edu        X86_PageSizeExtensions = 1 << 3,
3984777Sgblack@eecs.umich.edu
3994793Sgblack@eecs.umich.edu        X86_TimeStampCounter = 1 << 4,
4004793Sgblack@eecs.umich.edu        X86_ModelSpecificRegisters = 1 << 5,
4014793Sgblack@eecs.umich.edu        X86_PhysicalAddressExtensions = 1 << 6,
4024793Sgblack@eecs.umich.edu        X86_MachineCheckExtensions = 1 << 7,
4034777Sgblack@eecs.umich.edu
4044793Sgblack@eecs.umich.edu        X86_CMPXCHG8Instruction = 1 << 8,
4054793Sgblack@eecs.umich.edu        X86_OnboardAPIC = 1 << 9,
4064793Sgblack@eecs.umich.edu        X86_SYSENTER_SYSEXIT = 1 << 11,
4074793Sgblack@eecs.umich.edu
4084793Sgblack@eecs.umich.edu        X86_MemoryTypeRangeRegisters = 1 << 12,
4094793Sgblack@eecs.umich.edu        X86_PageGlobalEnable = 1 << 13,
4104793Sgblack@eecs.umich.edu        X86_MachineCheckArchitecture = 1 << 14,
4114793Sgblack@eecs.umich.edu        X86_CMOVInstruction = 1 << 15,
4124793Sgblack@eecs.umich.edu
4134793Sgblack@eecs.umich.edu        X86_PageAttributeTable = 1 << 16,
4144793Sgblack@eecs.umich.edu        X86_36BitPSEs = 1 << 17,
4154793Sgblack@eecs.umich.edu        X86_ProcessorSerialNumber = 1 << 18,
4164793Sgblack@eecs.umich.edu        X86_CLFLUSHInstruction = 1 << 19,
4174793Sgblack@eecs.umich.edu
4184793Sgblack@eecs.umich.edu        X86_DebugTraceStore = 1 << 21,
4194793Sgblack@eecs.umich.edu        X86_ACPIViaMSR = 1 << 22,
4204793Sgblack@eecs.umich.edu        X86_MultimediaExtensions = 1 << 23,
4214793Sgblack@eecs.umich.edu
4224793Sgblack@eecs.umich.edu        X86_FXSAVE_FXRSTOR = 1 << 24,
4234793Sgblack@eecs.umich.edu        X86_StreamingSIMDExtensions = 1 << 25,
4244793Sgblack@eecs.umich.edu        X86_StreamingSIMDExtensions2 = 1 << 26,
4254793Sgblack@eecs.umich.edu        X86_CPUSelfSnoop = 1 << 27,
4264793Sgblack@eecs.umich.edu
4274793Sgblack@eecs.umich.edu        X86_HyperThreading = 1 << 28,
4284793Sgblack@eecs.umich.edu        X86_AutomaticClockControl = 1 << 29,
4294793Sgblack@eecs.umich.edu        X86_IA64Processor = 1 << 30
4304166Sgblack@eecs.umich.edu    };
4314166Sgblack@eecs.umich.edu
4324166Sgblack@eecs.umich.edu    //Setup the auxilliary vectors. These will already have endian conversion.
4334166Sgblack@eecs.umich.edu    //Auxilliary vectors are loaded only for elf formatted executables.
4344166Sgblack@eecs.umich.edu    ElfObject * elfObject = dynamic_cast<ElfObject *>(objFile);
4354166Sgblack@eecs.umich.edu    if(elfObject)
4364166Sgblack@eecs.umich.edu    {
4374793Sgblack@eecs.umich.edu        uint64_t features =
4384793Sgblack@eecs.umich.edu            X86_OnboardFPU |
4394793Sgblack@eecs.umich.edu            X86_VirtualModeExtensions |
4404793Sgblack@eecs.umich.edu            X86_DebuggingExtensions |
4414793Sgblack@eecs.umich.edu            X86_PageSizeExtensions |
4424793Sgblack@eecs.umich.edu            X86_TimeStampCounter |
4434793Sgblack@eecs.umich.edu            X86_ModelSpecificRegisters |
4444793Sgblack@eecs.umich.edu            X86_PhysicalAddressExtensions |
4454793Sgblack@eecs.umich.edu            X86_MachineCheckExtensions |
4464793Sgblack@eecs.umich.edu            X86_CMPXCHG8Instruction |
4474793Sgblack@eecs.umich.edu            X86_OnboardAPIC |
4484793Sgblack@eecs.umich.edu            X86_SYSENTER_SYSEXIT |
4494793Sgblack@eecs.umich.edu            X86_MemoryTypeRangeRegisters |
4504793Sgblack@eecs.umich.edu            X86_PageGlobalEnable |
4514793Sgblack@eecs.umich.edu            X86_MachineCheckArchitecture |
4524793Sgblack@eecs.umich.edu            X86_CMOVInstruction |
4534793Sgblack@eecs.umich.edu            X86_PageAttributeTable |
4544793Sgblack@eecs.umich.edu            X86_36BitPSEs |
4554793Sgblack@eecs.umich.edu//            X86_ProcessorSerialNumber |
4564793Sgblack@eecs.umich.edu            X86_CLFLUSHInstruction |
4574793Sgblack@eecs.umich.edu//            X86_DebugTraceStore |
4584793Sgblack@eecs.umich.edu//            X86_ACPIViaMSR |
4594793Sgblack@eecs.umich.edu            X86_MultimediaExtensions |
4604793Sgblack@eecs.umich.edu            X86_FXSAVE_FXRSTOR |
4614793Sgblack@eecs.umich.edu            X86_StreamingSIMDExtensions |
4624793Sgblack@eecs.umich.edu            X86_StreamingSIMDExtensions2 |
4634793Sgblack@eecs.umich.edu//            X86_CPUSelfSnoop |
4644793Sgblack@eecs.umich.edu//            X86_HyperThreading |
4654793Sgblack@eecs.umich.edu//            X86_AutomaticClockControl |
4664793Sgblack@eecs.umich.edu//            X86_IA64Processor |
4674793Sgblack@eecs.umich.edu            0;
4684793Sgblack@eecs.umich.edu
4694166Sgblack@eecs.umich.edu        //Bits which describe the system hardware capabilities
4704777Sgblack@eecs.umich.edu        //XXX Figure out what these should be
4714793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_HWCAP, features));
4724166Sgblack@eecs.umich.edu        //The system page size
4734793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_PAGESZ, X86ISA::VMPageSize));
4744166Sgblack@eecs.umich.edu        //Frequency at which times() increments
4754793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_CLKTCK, 100));
4764166Sgblack@eecs.umich.edu        // For statically linked executables, this is the virtual address of the
4774166Sgblack@eecs.umich.edu        // program header tables if they appear in the executable image
4784793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_PHDR, elfObject->programHeaderTable()));
4794166Sgblack@eecs.umich.edu        // This is the size of a program header entry from the elf file.
4804793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_PHENT, elfObject->programHeaderSize()));
4814166Sgblack@eecs.umich.edu        // This is the number of program headers from the original elf file.
4824793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_PHNUM, elfObject->programHeaderCount()));
4834777Sgblack@eecs.umich.edu        //Defined to be 100 in the kernel source.
4844166Sgblack@eecs.umich.edu        //This is the address of the elf "interpreter", It should be set
4854166Sgblack@eecs.umich.edu        //to 0 for regular executables. It should be something else
4864166Sgblack@eecs.umich.edu        //(not sure what) for dynamic libraries.
4874793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_BASE, 0));
4884777Sgblack@eecs.umich.edu
4894777Sgblack@eecs.umich.edu        //XXX Figure out what this should be.
4904793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_FLAGS, 0));
4914166Sgblack@eecs.umich.edu        //The entry point to the program
4924793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_ENTRY, objFile->entryPoint()));
4934166Sgblack@eecs.umich.edu        //Different user and group IDs
4944793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_UID, uid()));
4954793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_EUID, euid()));
4964793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_GID, gid()));
4974793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_EGID, egid()));
4984166Sgblack@eecs.umich.edu        //Whether to enable "secure mode" in the executable
4994793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_SECURE, 0));
5004777Sgblack@eecs.umich.edu        //The string "x86_64" with unknown meaning
5014793Sgblack@eecs.umich.edu        auxv.push_back(auxv_t(M5_AT_PLATFORM, 0));
5024166Sgblack@eecs.umich.edu    }
5034166Sgblack@eecs.umich.edu
5044166Sgblack@eecs.umich.edu    //Figure out how big the initial stack needs to be
5054166Sgblack@eecs.umich.edu
5064849Sgblack@eecs.umich.edu    // A sentry NULL void pointer at the top of the stack.
5074849Sgblack@eecs.umich.edu    int sentry_size = intSize;
5084166Sgblack@eecs.umich.edu
5094166Sgblack@eecs.umich.edu    //This is the name of the file which is present on the initial stack
5104166Sgblack@eecs.umich.edu    //It's purpose is to let the user space linker examine the original file.
5114847Sgblack@eecs.umich.edu    int file_name_size = filename.size() + 1;
5124793Sgblack@eecs.umich.edu
5134793Sgblack@eecs.umich.edu    string platform = "x86_64";
5144793Sgblack@eecs.umich.edu    int aux_data_size = platform.size() + 1;
5154166Sgblack@eecs.umich.edu
5164166Sgblack@eecs.umich.edu    int env_data_size = 0;
5174166Sgblack@eecs.umich.edu    for (int i = 0; i < envp.size(); ++i) {
5184847Sgblack@eecs.umich.edu        env_data_size += envp[i].size() + 1;
5194166Sgblack@eecs.umich.edu    }
5204166Sgblack@eecs.umich.edu    int arg_data_size = 0;
5214166Sgblack@eecs.umich.edu    for (int i = 0; i < argv.size(); ++i) {
5224847Sgblack@eecs.umich.edu        arg_data_size += argv[i].size() + 1;
5234166Sgblack@eecs.umich.edu    }
5244166Sgblack@eecs.umich.edu
5254166Sgblack@eecs.umich.edu    //The info_block needs to be padded so it's size is a multiple of the
5264166Sgblack@eecs.umich.edu    //alignment mask. Also, it appears that there needs to be at least some
5274166Sgblack@eecs.umich.edu    //padding, so if the size is already a multiple, we need to increase it
5284166Sgblack@eecs.umich.edu    //anyway.
5294849Sgblack@eecs.umich.edu    int base_info_block_size =
5304849Sgblack@eecs.umich.edu        sentry_size + file_name_size + env_data_size + arg_data_size;
5314166Sgblack@eecs.umich.edu
5324849Sgblack@eecs.umich.edu    int info_block_size = roundUp(base_info_block_size, align);
5334849Sgblack@eecs.umich.edu
5344849Sgblack@eecs.umich.edu    int info_block_padding = info_block_size - base_info_block_size;
5354166Sgblack@eecs.umich.edu
5364166Sgblack@eecs.umich.edu    //Each auxilliary vector is two 8 byte words
5374166Sgblack@eecs.umich.edu    int aux_array_size = intSize * 2 * (auxv.size() + 1);
5384166Sgblack@eecs.umich.edu
5394166Sgblack@eecs.umich.edu    int envp_array_size = intSize * (envp.size() + 1);
5404166Sgblack@eecs.umich.edu    int argv_array_size = intSize * (argv.size() + 1);
5414166Sgblack@eecs.umich.edu
5424166Sgblack@eecs.umich.edu    int argc_size = intSize;
5434166Sgblack@eecs.umich.edu
5444849Sgblack@eecs.umich.edu    //Figure out the size of the contents of the actual initial frame
5454849Sgblack@eecs.umich.edu    int frame_size =
5464166Sgblack@eecs.umich.edu        aux_array_size +
5474166Sgblack@eecs.umich.edu        envp_array_size +
5484166Sgblack@eecs.umich.edu        argv_array_size +
5494607Sgblack@eecs.umich.edu        argc_size;
5504166Sgblack@eecs.umich.edu
5514849Sgblack@eecs.umich.edu    //There needs to be padding after the auxiliary vector data so that the
5524849Sgblack@eecs.umich.edu    //very bottom of the stack is aligned properly.
5534849Sgblack@eecs.umich.edu    int partial_size = frame_size + aux_data_size;
5544849Sgblack@eecs.umich.edu    int aligned_partial_size = roundUp(partial_size, align);
5554849Sgblack@eecs.umich.edu    int aux_padding = aligned_partial_size - partial_size;
5564849Sgblack@eecs.umich.edu
5574849Sgblack@eecs.umich.edu    int space_needed =
5584849Sgblack@eecs.umich.edu        info_block_size +
5594849Sgblack@eecs.umich.edu        aux_data_size +
5604849Sgblack@eecs.umich.edu        aux_padding +
5614849Sgblack@eecs.umich.edu        frame_size;
5624849Sgblack@eecs.umich.edu
5634166Sgblack@eecs.umich.edu    stack_min = stack_base - space_needed;
5644849Sgblack@eecs.umich.edu    stack_min = roundDown(stack_min, align);
5654166Sgblack@eecs.umich.edu    stack_size = stack_base - stack_min;
5664166Sgblack@eecs.umich.edu
5674166Sgblack@eecs.umich.edu    // map memory
5684166Sgblack@eecs.umich.edu    pTable->allocate(roundDown(stack_min, pageSize),
5694166Sgblack@eecs.umich.edu                     roundUp(stack_size, pageSize));
5704166Sgblack@eecs.umich.edu
5714166Sgblack@eecs.umich.edu    // map out initial stack contents
5725956Sgblack@eecs.umich.edu    IntType sentry_base = stack_base - sentry_size;
5735956Sgblack@eecs.umich.edu    IntType file_name_base = sentry_base - file_name_size;
5745956Sgblack@eecs.umich.edu    IntType env_data_base = file_name_base - env_data_size;
5755956Sgblack@eecs.umich.edu    IntType arg_data_base = env_data_base - arg_data_size;
5765956Sgblack@eecs.umich.edu    IntType aux_data_base = arg_data_base - info_block_padding - aux_data_size;
5775956Sgblack@eecs.umich.edu    IntType auxv_array_base = aux_data_base - aux_array_size - aux_padding;
5785956Sgblack@eecs.umich.edu    IntType envp_array_base = auxv_array_base - envp_array_size;
5795956Sgblack@eecs.umich.edu    IntType argv_array_base = envp_array_base - argv_array_size;
5805956Sgblack@eecs.umich.edu    IntType argc_base = argv_array_base - argc_size;
5814166Sgblack@eecs.umich.edu
5825941Sgblack@eecs.umich.edu    DPRINTF(Stack, "The addresses of items on the initial stack:\n");
5835941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - file name\n", file_name_base);
5845941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - env data\n", env_data_base);
5855941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - arg data\n", arg_data_base);
5865941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - aux data\n", aux_data_base);
5875941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - auxv array\n", auxv_array_base);
5885941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - envp array\n", envp_array_base);
5895941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - argv array\n", argv_array_base);
5905941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - argc \n", argc_base);
5915941Sgblack@eecs.umich.edu    DPRINTF(Stack, "0x%x - stack min\n", stack_min);
5924166Sgblack@eecs.umich.edu
5934166Sgblack@eecs.umich.edu    // write contents to stack
5944166Sgblack@eecs.umich.edu
5954166Sgblack@eecs.umich.edu    // figure out argc
5965956Sgblack@eecs.umich.edu    IntType argc = argv.size();
5975956Sgblack@eecs.umich.edu    IntType guestArgc = X86ISA::htog(argc);
5984166Sgblack@eecs.umich.edu
5994849Sgblack@eecs.umich.edu    //Write out the sentry void *
6005956Sgblack@eecs.umich.edu    IntType sentry_NULL = 0;
6014849Sgblack@eecs.umich.edu    initVirtMem->writeBlob(sentry_base,
6024849Sgblack@eecs.umich.edu            (uint8_t*)&sentry_NULL, sentry_size);
6034166Sgblack@eecs.umich.edu
6044166Sgblack@eecs.umich.edu    //Write the file name
6054166Sgblack@eecs.umich.edu    initVirtMem->writeString(file_name_base, filename.c_str());
6064166Sgblack@eecs.umich.edu
6074793Sgblack@eecs.umich.edu    //Fix up the aux vector which points to the "platform" string
6084793Sgblack@eecs.umich.edu    assert(auxv[auxv.size() - 1].a_type = M5_AT_PLATFORM);
6094793Sgblack@eecs.umich.edu    auxv[auxv.size() - 1].a_val = aux_data_base;
6104793Sgblack@eecs.umich.edu
6114166Sgblack@eecs.umich.edu    //Copy the aux stuff
6124166Sgblack@eecs.umich.edu    for(int x = 0; x < auxv.size(); x++)
6134166Sgblack@eecs.umich.edu    {
6144166Sgblack@eecs.umich.edu        initVirtMem->writeBlob(auxv_array_base + x * 2 * intSize,
6154166Sgblack@eecs.umich.edu                (uint8_t*)&(auxv[x].a_type), intSize);
6164166Sgblack@eecs.umich.edu        initVirtMem->writeBlob(auxv_array_base + (x * 2 + 1) * intSize,
6174166Sgblack@eecs.umich.edu                (uint8_t*)&(auxv[x].a_val), intSize);
6184166Sgblack@eecs.umich.edu    }
6194166Sgblack@eecs.umich.edu    //Write out the terminating zeroed auxilliary vector
6204166Sgblack@eecs.umich.edu    const uint64_t zero = 0;
6214166Sgblack@eecs.umich.edu    initVirtMem->writeBlob(auxv_array_base + 2 * intSize * auxv.size(),
6224166Sgblack@eecs.umich.edu            (uint8_t*)&zero, 2 * intSize);
6234166Sgblack@eecs.umich.edu
6244793Sgblack@eecs.umich.edu    initVirtMem->writeString(aux_data_base, platform.c_str());
6254793Sgblack@eecs.umich.edu
6264166Sgblack@eecs.umich.edu    copyStringArray(envp, envp_array_base, env_data_base, initVirtMem);
6274166Sgblack@eecs.umich.edu    copyStringArray(argv, argv_array_base, arg_data_base, initVirtMem);
6284166Sgblack@eecs.umich.edu
6294166Sgblack@eecs.umich.edu    initVirtMem->writeBlob(argc_base, (uint8_t*)&guestArgc, intSize);
6304166Sgblack@eecs.umich.edu
6315713Shsul@eecs.umich.edu    ThreadContext *tc = system->getThreadContext(contextIds[0]);
6324793Sgblack@eecs.umich.edu    //Set the stack pointer register
6335713Shsul@eecs.umich.edu    tc->setIntReg(StackPointerReg, stack_min);
6344166Sgblack@eecs.umich.edu
6354166Sgblack@eecs.umich.edu    Addr prog_entry = objFile->entryPoint();
6365246Sgblack@eecs.umich.edu    // There doesn't need to be any segment base added in since we're dealing
6375246Sgblack@eecs.umich.edu    // with the flat segmentation model.
6385713Shsul@eecs.umich.edu    tc->setPC(prog_entry);
6395713Shsul@eecs.umich.edu    tc->setNextPC(prog_entry + sizeof(MachInst));
6404166Sgblack@eecs.umich.edu
6414166Sgblack@eecs.umich.edu    //Align the "stack_min" to a page boundary.
6424166Sgblack@eecs.umich.edu    stack_min = roundDown(stack_min, pageSize);
6434166Sgblack@eecs.umich.edu
6444166Sgblack@eecs.umich.edu//    num_processes++;
6454166Sgblack@eecs.umich.edu}
6465956Sgblack@eecs.umich.edu
6475956Sgblack@eecs.umich.eduvoid
6485956Sgblack@eecs.umich.eduX86_64LiveProcess::argsInit(int intSize, int pageSize)
6495956Sgblack@eecs.umich.edu{
6505973Sgblack@eecs.umich.edu    std::vector<AuxVector<uint64_t> > extraAuxvs;
6515973Sgblack@eecs.umich.edu    X86LiveProcess::argsInit<uint64_t>(pageSize, extraAuxvs);
6525956Sgblack@eecs.umich.edu}
6535956Sgblack@eecs.umich.edu
6545956Sgblack@eecs.umich.eduvoid
6555956Sgblack@eecs.umich.eduI386LiveProcess::argsInit(int intSize, int pageSize)
6565956Sgblack@eecs.umich.edu{
6575973Sgblack@eecs.umich.edu    std::vector<AuxVector<uint32_t> > extraAuxvs;
6585973Sgblack@eecs.umich.edu    //Tell the binary where the vsyscall part of the vsyscall page is.
6595973Sgblack@eecs.umich.edu    extraAuxvs.push_back(AuxVector<uint32_t>(0x20,
6605973Sgblack@eecs.umich.edu                vsyscallPage.base + vsyscallPage.vsyscallOffset));
6615973Sgblack@eecs.umich.edu    extraAuxvs.push_back(AuxVector<uint32_t>(0x21, vsyscallPage.base));
6625973Sgblack@eecs.umich.edu    X86LiveProcess::argsInit<uint32_t>(pageSize, extraAuxvs);
6635956Sgblack@eecs.umich.edu}
6645958Sgblack@eecs.umich.edu
6655958Sgblack@eecs.umich.eduvoid
6665958Sgblack@eecs.umich.eduX86LiveProcess::setSyscallReturn(ThreadContext *tc, SyscallReturn return_value)
6675958Sgblack@eecs.umich.edu{
6685958Sgblack@eecs.umich.edu    tc->setIntReg(INTREG_RAX, return_value.value());
6695958Sgblack@eecs.umich.edu}
6705958Sgblack@eecs.umich.edu
6715958Sgblack@eecs.umich.eduX86ISA::IntReg
6725958Sgblack@eecs.umich.eduX86_64LiveProcess::getSyscallArg(ThreadContext *tc, int i)
6735958Sgblack@eecs.umich.edu{
6745958Sgblack@eecs.umich.edu    assert(i < NumArgumentRegs);
6755958Sgblack@eecs.umich.edu    return tc->readIntReg(ArgumentReg[i]);
6765958Sgblack@eecs.umich.edu}
6775958Sgblack@eecs.umich.edu
6785958Sgblack@eecs.umich.eduvoid
6795958Sgblack@eecs.umich.eduX86_64LiveProcess::setSyscallArg(ThreadContext *tc, int i, X86ISA::IntReg val)
6805958Sgblack@eecs.umich.edu{
6815958Sgblack@eecs.umich.edu    assert(i < NumArgumentRegs);
6825958Sgblack@eecs.umich.edu    return tc->setIntReg(ArgumentReg[i], val);
6835958Sgblack@eecs.umich.edu}
6845958Sgblack@eecs.umich.edu
6855958Sgblack@eecs.umich.eduX86ISA::IntReg
6865958Sgblack@eecs.umich.eduI386LiveProcess::getSyscallArg(ThreadContext *tc, int i)
6875958Sgblack@eecs.umich.edu{
6885959Sgblack@eecs.umich.edu    assert(i < NumArgumentRegs32);
6895959Sgblack@eecs.umich.edu    return tc->readIntReg(ArgumentReg32[i]);
6905958Sgblack@eecs.umich.edu}
6915958Sgblack@eecs.umich.edu
6925958Sgblack@eecs.umich.eduvoid
6935958Sgblack@eecs.umich.eduI386LiveProcess::setSyscallArg(ThreadContext *tc, int i, X86ISA::IntReg val)
6945958Sgblack@eecs.umich.edu{
6955959Sgblack@eecs.umich.edu    assert(i < NumArgumentRegs);
6965959Sgblack@eecs.umich.edu    return tc->setIntReg(ArgumentReg[i], val);
6975958Sgblack@eecs.umich.edu}
698