Searched refs:tlm_fifo (Results 1 - 12 of 12) sorted by relevance

/gem5/src/systemc/ext/tlm_core/1/analysis/
H A Danalysis_fifo.hh31 class tlm_analysis_fifo : public tlm_fifo<T>,
36 // analysis fifo is an unbounded tlm_fifo
37 tlm_analysis_fifo(const char *nm) : tlm_fifo<T>(nm, -16) {}
38 tlm_analysis_fifo() : tlm_fifo<T>(-16) {}
/gem5/src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/
H A Dfifo_put_get.hh29 tlm_fifo<T>::get(tlm_tag<T> *)
44 tlm_fifo<T>::nb_get(T &val_)
60 tlm_fifo<T>::nb_can_get(tlm_tag<T> *) const
69 tlm_fifo<T>::put(const T &val_)
87 tlm_fifo<T>::nb_put(const T &val_)
106 tlm_fifo<T>::nb_can_put(tlm_tag<T> *) const
H A Dfifo_resize.hh29 tlm_fifo<T>::nb_expand(unsigned int n)
40 tlm_fifo<T>::nb_unbound(unsigned int n)
54 tlm_fifo<T>::nb_reduce(unsigned int n)
65 tlm_fifo<T>::nb_bound(unsigned int new_size)
H A Dfifo_peek.hh28 tlm_fifo<T>::peek(tlm_tag<T> *) const
40 tlm_fifo<T>::nb_peek(T &t) const
52 tlm_fifo<T>::nb_peek(T &t, int n) const
68 tlm_fifo<T>::nb_can_peek(tlm_tag<T> *) const
75 tlm_fifo<T>::nb_poke(const T &t, int n)
H A Dfifo.hh44 class tlm_fifo : public virtual tlm_fifo_get_if<T>, class in namespace:tlm
49 explicit tlm_fifo(int size_=1) : function in class:tlm::tlm_fifo
55 explicit tlm_fifo(const char *name_, int size_=1) : function in class:tlm::tlm_fifo
62 virtual ~tlm_fifo() {}
156 tlm_fifo(const tlm_fifo<T> &);
157 tlm_fifo &operator = (const tlm_fifo<T> &);
177 const char *const tlm_fifo<T>::kind_string = "tlm_fifo";
[all...]
/gem5/ext/systemc/src/tlm_core/tlm_1/tlm_analysis/
H A Dtlm_analysis_fifo.h23 #include "tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo.h"
31 public tlm_fifo< T > ,
37 // analysis fifo is an unbounded tlm_fifo
39 tlm_analysis_fifo( const char *nm ) : tlm_fifo<T>( nm , -16 ) {}
40 tlm_analysis_fifo() : tlm_fifo<T>( -16 ) {}
/gem5/ext/systemc/src/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/
H A Dtlm_fifo_peek.h28 tlm_fifo<T>::peek( tlm_tag<T> * ) const {
45 tlm_fifo<T>::nb_peek( T &t ) const {
59 tlm_fifo<T>::nb_peek( T &t , int n ) const {
77 tlm_fifo<T>::nb_can_peek( tlm_tag<T> * ) const
85 tlm_fifo<T>::nb_poke( const T &t , int n ) {
H A Dtlm_fifo_resize.h34 tlm_fifo<T>::nb_expand( unsigned int n ) {
46 tlm_fifo<T>::nb_unbound( unsigned int n ) {
62 tlm_fifo<T>::nb_reduce( unsigned int n ) {
75 tlm_fifo<T>::nb_bound( unsigned int new_size ) {
H A Dtlm_fifo_put_get.h34 tlm_fifo<T>::get( tlm_tag<T> * )
53 tlm_fifo<T>::nb_get( T& val_ )
72 tlm_fifo<T>::nb_can_get( tlm_tag<T> * ) const {
88 tlm_fifo<T>::put( const T& val_ )
109 tlm_fifo<T>::nb_put( const T& val_ )
132 tlm_fifo<T>::nb_can_put( tlm_tag<T> * ) const {
H A Dtlm_fifo.h40 #include "tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/circular_buffer.h"
45 class tlm_fifo : class in namespace:tlm
54 explicit tlm_fifo( int size_ = 1 ) function in class:tlm::tlm_fifo
61 explicit tlm_fifo( const char* name_, int size_ = 1 ) function in class:tlm::tlm_fifo
70 virtual ~tlm_fifo() {}
177 tlm_fifo( const tlm_fifo<T>& );
178 tlm_fifo& operator = ( const tlm_fifo<T>& );
200 const char* const tlm_fifo<
[all...]
/gem5/ext/systemc/src/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/
H A Dtlm_req_rsp_channels.h24 #include "tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo.h"
30 typename REQ_CHANNEL = tlm_fifo<REQ> ,
31 typename RSP_CHANNEL = tlm_fifo<RSP> >
100 typename REQ_CHANNEL = tlm_fifo<REQ> ,
101 typename RSP_CHANNEL = tlm_fifo<RSP> >
/gem5/src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/
H A Dreq_rsp_channels.hh32 template <typename REQ, typename RSP, typename REQ_CHANNEL=tlm_fifo<REQ>,
33 typename RSP_CHANNEL=tlm_fifo<RSP>>
92 typename REQ_CHANNEL=tlm_fifo<REQ>,
93 typename RSP_CHANNEL=tlm_fifo<RSP>>

Completed in 12 milliseconds