Searched refs:sum (Results 1 - 25 of 77) sorted by relevance

1234

/gem5/src/systemc/tests/systemc/misc/user_guide/chpt7.1/
H A Dtestbench.cpp54 int sum; local
56 sum = add(a, b);
57 sum = add(sum, c);
59 return (sum);
65 int sum; local
73 sum = adder_sub(a, b, c, &d);
74 // printf("A = %d, B = %d, C = %d, D = %d, SUM = %d\n", a, b, c, d, sum);
76 sprintf(buf, "A = %d, B = %d, C = %d, D = %d, SUM = %d\n", a, b, c, d, sum);
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.2/
H A Dstage1_2.h44 sc_signal<double> sum; // internal signal member in struct:stage1_2
58 S1("Stage1", TICK, IN1, IN2, sum, diff),
59 S2("Stage2", TICK, sum, diff, PROD, QUOT),
60 sum("SigSum")
H A Dstage1.h51 sc_signal<double>& sum; //output local
61 : in1(IN1), in2(IN2), sum(SUM), diff(DIFF)
H A Dstage2.h49 const sc_signal<double>& sum; //input local
61 : sum(SUM), diff(DIFF), prod(PROD), quot(QUOT)
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt7.2/
H A Dadder_sub.cpp50 int sum; local
62 sum = add(a, b);
63 sum = add(sum, c);
68 Ssum.write(sum);
H A Dmain.cpp49 sc_signal<int> sum; local
54 testbench T("TB", clock, sum, d, done, a, b, c, ready);
55 adder_sub AS("AS", clock, a, b, c, ready, d, sum, done);
H A Dtestbench.cpp50 int sum; local
65 sum = Ssum.read();
67 // printf("A = %d, B = %d, C = %d, D = %d, SUM = %d\n", a, b, c, d, sum);
69 sprintf(buf, "A = %d, B = %d, C = %d, D = %d, SUM = %d\n", a, b, c, d, sum);
/gem5/src/systemc/tests/systemc/misc/synth/add_chain_FUNC/
H A Dadd_chain_tb.h57 signal_bool_vector4 sum; member in struct:testbench
67 f_add_chain ("AC1", TICK, reset, data, sum, ready);
68 f_DISPLAY ("D1", ready, data, sum);
H A Ddisplay.cpp52 const signal_bool_vector4& sum; // Input local
62 sum (SUM)
68 sensitive << sum; local
84 << " is " << sum.read().to_uint()
H A Dadd_chain.cpp86 bool_vector4 sum; local
103 sum = 0;
106 sum = sum.to_uint() + a[i].to_bool();
109 sum_out.write(sum);
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.4/
H A Dstage1_2.h44 sc_signal<double> sum; // internal signal member in struct:stage1_2
56 f_stage1("Stage1", TICK, IN1, IN2, sum, diff);
57 f_stage2("Stage2", TICK, sum, diff, PROD, QUOT);
H A Dstage1.h51 sc_signal<double>& sum; //output local
61 : in1(IN1), in2(IN2), sum(SUM), diff(DIFF)
H A Dstage2.h49 const sc_signal<double>& sum; //input local
61 : sum(SUM), diff(DIFF), prod(PROD), quot(QUOT)
/gem5/src/systemc/tests/systemc/misc/synth/add_chain/
H A Ddisplay.h52 const signal_bool_vector4& sum; // Input local
62 sum (SUM)
68 sensitive << sum; local
84 << " is " << sum.read().to_uint()
H A Dadd_chain.h86 bool_vector4 sum; local
102 sum = 0;
106 sum = sum.to_uint() + a[i].to_bool();
109 sum_out.write(sum);
H A Dtb.h55 signal_bool_vector4 sum; member in struct:testbench
68 ac1 ("AC1", TICK, reset, data, sum, ready),
69 d1 ("D1", ready, data, sum)
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.1/
H A Dmain.cpp50 sc_signal<double> sum; local
60 stage1 S1("Stage1", clk, in1, in2, sum, diff);
61 stage2 S2("Stage2", clk, sum, diff, prod, quot);
H A Dstage1.h51 sc_signal<double>& sum; //output local
61 : in1(IN1), in2(IN2), sum(SUM), diff(DIFF)
H A Dstage2.h49 const sc_signal<double>& sum; //input local
61 : sum(SUM), diff(DIFF), prod(PROD), quot(QUOT)
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt5.1/
H A Daccumulator.cpp53 sum.write(sum_acc);
H A Daccumulator.h50 sc_signal<int>& sum; //output local
62 : number(NUMBER), sum(SUM), prod(PROD)
/gem5/src/systemc/tests/systemc/tracing/wif_trace/mixed/
H A Dmixed.cpp50 sc_out<int> sum; local
57 : a(A), b(B), sum(SUM)
72 sum = a + b;
111 sc_signal<int> sum("sum");
116 sum = 0;
118 adder add("add", clk, a, b, sum);
124 sc_trace(tf, sum, "sum");
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt10.1/
H A Dparamadd.cpp51 sum.write(0);
68 // sum.write( sum1.range(data_width-1,0) );
71 sum.write( tmp );
80 << " sum = " << sum
H A Dmain.cpp54 signal_bool_vector sum ("Sum");
62 paramadd D1("D1", clk, reset, a, b, cin, ready, sum,
/gem5/src/systemc/tests/systemc/misc/user_guide/param_model/
H A Dparam.cpp52 sum.write(0);
69 // sum.write( sum1.range(data_width-1,0) );
72 sum.write( tmp );
82 << " sum = " << sum

Completed in 17 milliseconds

1234