Searched refs:sc_clock (Results 1 - 25 of 900) sorted by relevance

1234567891011>>

/gem5/src/systemc/tests/systemc/misc/parsing/T_1_1_2_1/
H A DT_1_1_2_1.cpp42 sc_clock clock1("CLK1");
43 sc_clock clock2("CLK2", 2, SC_NS);
44 sc_clock clock3("CLK3", 3, SC_NS, 0.25);
45 sc_clock clock4("CLK4", 4, SC_NS, 0.5, 0.5, SC_NS);
46 sc_clock clock5("CLK5", 5, SC_NS, 0.75, 1.0, SC_NS, false);
/gem5/src/systemc/tests/systemc/misc/stars/star114639/
H A Dtest.cpp45 sc_clock clk( "clk" );
/gem5/src/systemc/tests/systemc/communication/sc_clock/test01/
H A Dtest01.cpp38 // test of the sc_clock constructors
54 sc_clock c1;
57 sc_clock c2( "c2" );
60 sc_clock c3( "c3", t1 );
62 sc_clock c4( "c4", t1, 0.1 );
64 sc_clock c5( "c5", t1, 0.1, t2 );
66 sc_clock c6( "c6", t1, 0.1, t2, false );
69 sc_clock c7( "c7", 8, SC_NS );
71 sc_clock c8( "c8", 8, SC_NS, 0.1 );
74 sc_clock c
[all...]
/gem5/src/systemc/tests/systemc/misc/unit/structure/clocks/
H A Dclocks.cpp45 sc_clock clk( "CLK", 20, SC_NS, 0.5, 0, SC_NS);
46 sc_clock clk2( "_____________CLK2", 20, SC_NS, 0.2, 5, SC_NS);
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.3/
H A Dmain.cpp45 sc_clock clk( "CLK", 20, SC_NS, 0.5, 0, SC_NS);
46 sc_clock clk2( "CLK2", 20, SC_NS, 0.2, 5, SC_NS);
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.4/
H A Df_pipeline.h42 sc_clock& CLK,
H A Df_stage3.h42 sc_clock& CLK,
H A Df_stage1.h42 sc_clock& CLK,
H A Df_stage2.h42 sc_clock& CLK,
/gem5/src/systemc/ext/channel/
H A Dsc_clock.hh51 class sc_clock : public sc_signal<bool> class in namespace:sc_core
54 sc_clock();
55 explicit sc_clock(const char *name);
57 sc_clock(const char *name, const sc_time &period,
61 sc_clock(const char *name, double period_v, sc_time_unit period_tu,
64 sc_clock(const char *name, double period_v, sc_time_unit period_tu,
69 sc_clock(const char *name, double period, double duty_cycle=0.5,
72 virtual ~sc_clock();
84 virtual const char *kind() const { return "sc_clock"; }
93 sc_clock(cons function in class:sc_core::sc_clock
[all...]
/gem5/src/systemc/tests/systemc/misc/synth/add_chain_FUNC/
H A Dadd_chain.h47 sc_clock&,
53 sc_clock&,
59 sc_clock&,
H A Dadd_chain_main.cpp63 sc_clock clk( "CLOCK", 20, SC_NS, 0.5, 10, SC_NS); // Clock function
/gem5/src/systemc/channel/
H A Dsc_clock.cc39 #include "systemc/ext/channel/sc_clock.hh"
53 ProcessMemberFuncWrapper<::sc_core::sc_clock> funcWrapper;
56 ClockTick(::sc_core::sc_clock *clock, bool to,
60 funcWrapper(clock, to ? &::sc_core::sc_clock::tickUp :
61 &::sc_core::sc_clock::tickDown)
96 sc_clock::sc_clock() : function in class:sc_core::sc_clock
97 sc_clock(sc_gen_unique_name("clock"), sc_time(1.0, SC_NS),
101 sc_clock::sc_clock(cons function in class:sc_core::sc_clock
105 sc_clock::sc_clock(const char *name, const sc_time &period, function in class:sc_core::sc_clock
137 sc_clock::sc_clock(const char *name, double period_v, sc_time_unit period_tu, function in class:sc_core::sc_clock
143 sc_clock::sc_clock(const char *name, double period_v, sc_time_unit period_tu, function in class:sc_core::sc_clock
150 sc_clock::sc_clock(const char *name, double period, double duty_cycle, function in class:sc_core::sc_clock
[all...]
/gem5/ext/systemc/src/sysc/communication/
H A Dsc_clock.h22 sc_clock.h -- The clock channel.
40 // CLASS : sc_clock
45 class sc_clock class in namespace:sc_core
56 sc_clock();
58 explicit sc_clock( const char* name_ );
60 sc_clock( const char* name_,
66 sc_clock( const char* name_,
71 sc_clock( const char* name_,
80 sc_clock( const char* name_,
87 virtual ~sc_clock();
[all...]
/gem5/src/systemc/tests/systemc/communication/sc_clock/test02/
H A Dtest02.cpp39 // test of the sc_clock::print
51 sc_clock c1( "c1", t1, 0.1, t2 );
56 sc_clock c2( "c2", t1, 0.1, t2, false );
62 sc_clock c3( "c3", 8, SC_NS, 0.1 );
67 sc_clock c4( "c4", 8, SC_NS, 0.1, false );
72 sc_clock c5( "c5", 8, SC_NS, 0.1, 2, SC_NS );
77 sc_clock c6( "c6", 8, SC_NS, 0.1, 2, SC_NS, false );
/gem5/src/systemc/tests/systemc/communication/sc_semaphore/test03/
H A Dmain.cpp42 sc_clock clock1("clock1",10,SC_PS);
/gem5/src/systemc/tests/systemc/misc/synth/add_chain/
H A Dadd_chain.cpp68 sc_clock clk( "CLOCK", 20, SC_NS, 0.5, 0, SC_NS, false); // Clock function
/gem5/src/systemc/tests/systemc/misc/synth/bubble/
H A Dbubble.cpp46 sc_clock clk( "clk", 20, SC_NS, 0.5, 0, SC_NS, false); // Clock function
/gem5/src/systemc/tests/systemc/misc/unit/control/posedge/
H A Dposedge.cpp48 sc_clock clk( "CLK", 20, SC_NS, 0.5, 0, SC_NS); // Clock function
/gem5/src/systemc/tests/systemc/misc/unit/control/timing/
H A Dtiming.cpp44 sc_clock clk( "CLK", 20, SC_NS, 0.5, 0, SC_NS); // Clock function
/gem5/src/systemc/tests/systemc/misc/unit/control/wait_until/
H A Dwaiting.cpp46 sc_clock clk( "CLK", 20, SC_NS, 0.5, 0, SC_NS); // Clock function
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt7.1/
H A Dmain.cpp44 sc_clock clock("Clock", 10, SC_NS, 0.5, 0, SC_NS);
/gem5/src/systemc/tests/systemc/communication/sc_clock/test03/
H A Dtest03.cpp39 // test of sc_clock::dump( ostream& os ) const
50 sc_clock c1( "c1", t1, 0.1, t2 );
54 sc_clock c2( "c2", t1, 0.1, t2, false );
/gem5/src/systemc/tests/systemc/misc/stars/star123845/
H A Dtest.cpp45 sc_clock clk( "clk" );
/gem5/src/systemc/tests/systemc/misc/stars/star125422/
H A Dtest.cpp45 sc_clock clk( "clk", 20, SC_NS );

Completed in 17 milliseconds

1234567891011>>