Searched refs:mux_bits (Results 1 - 1 of 1) sorted by relevance

/gem5/ext/dsent/model/electrical/
H A DTestModel.cc59 unsigned int mux_bits = 1; local
143 multiplexer->setParameter("NumberBits", mux_bits);
155 createNet("In0", makeNetIndex(0, mux_bits-1));
156 createNet("In1", makeNetIndex(0, mux_bits-1));
157 createNet("In2", makeNetIndex(0, mux_bits-1));
158 createNet("In3", makeNetIndex(0, mux_bits-1));
159 createNet("In4", makeNetIndex(0, mux_bits-1));
160 createNet("Out", makeNetIndex(0, mux_bits-1));
169 for (unsigned int i = 0; i < mux_bits; ++i)

Completed in 4 milliseconds