Searched refs:iterator (Results 1 - 25 of 174) sorted by relevance

1234567

/gem5/src/base/
H A Dcallback.cc35 queue::iterator i = callbacks.begin();
36 queue::iterator end = callbacks.end();
H A Dcircular_queue.hh46 #include <iterator>
96 * Some parts of the code rely on getting the past the end iterator, and
99 * a before-the-beginning iterator.
138 * iterator implementation to provide the circular-ness that the
139 * standard std::vector<T>::iterator does not implement.
142 * the iterator if it is valid. 'x' denotes the element pointed to by the
143 * iterator when it is BTB or PTE.
153 struct iterator { struct in class:CircularQueue
159 iterator(CircularQueue* cq, uint32_t idx, uint32_t round) function in struct:CircularQueue::iterator
172 * iterator satisfie
177 iterator() : _cq(nullptr), _idx(0), _round(0) { } function in struct:CircularQueue::iterator
179 iterator(const iterator& it) function in struct:CircularQueue::iterator
[all...]
H A Daddr_range_map.hh68 typedef typename RangeMap::iterator iterator; typedef in class:AddrRangeMap
75 * iterator to the entry which range is a superset of the input
79 * @return An iterator that contains the input address range
86 iterator
96 * iterator to the entry which range is a superset of the input
100 * @return An iterator that contains the input address
107 iterator
117 * iterator to the first entry which range intersects with the
121 * @return An iterator tha
[all...]
H A Ddebug.cc77 FlagsMap::iterator i = allFlags().find(name);
86 pair<FlagsMap::iterator, bool> result =
139 FlagsMap::iterator i = allFlags().begin();
140 FlagsMap::iterator end = allFlags().end();
149 FlagsMap::iterator i = allFlags().begin();
150 FlagsMap::iterator end = allFlags().end();
194 FlagsMap::iterator i = allFlags().begin();
195 FlagsMap::iterator end = allFlags().end();
H A Dcallback.hh137 queue::iterator i = callbacks.begin();
138 queue::iterator end = callbacks.end();
H A Dinifile.cc49 SectionTable::iterator i = table.begin();
50 SectionTable::iterator end = table.end();
83 EntryTable::iterator ei = table.find(entryName);
138 SectionTable::iterator i = table.find(sectionName);
266 for (EntryTable::iterator ei = table.begin();
310 for (SectionTable::iterator i = table.begin();
336 for (EntryTable::iterator ei = table.begin();
346 for (SectionTable::iterator i = table.begin();
/gem5/src/arch/sparc/
H A Dtlb_map.hh48 typedef RangeMap::iterator iterator; typedef in class:SparcISA::TlbMap
50 iterator
53 iterator i;
87 iterator i;
95 iterator
111 erase(iterator p)
117 erase(iterator p, iterator q)
128 iterator
[all...]
/gem5/src/cpu/
H A Dcpuevent.cc39 CpuEventList::iterator i;
53 CpuEventList::iterator i;
H A Dpc_event.hh85 typedef map_t::iterator iterator; typedef in class:PCEventQueue
89 typedef std::pair<iterator, iterator> range_t;
/gem5/src/mem/ruby/common/
H A DConsumer.cc52 set<Tick>::iterator bit = m_scheduled_wakeups.begin();
53 set<Tick>::iterator eit = m_scheduled_wakeups.lower_bound(t);
/gem5/src/systemc/ext/core/
H A Dsc_attr.hh80 typedef std::vector<elem_type>::iterator iterator; typedef in class:sc_core::sc_attr_cltn
83 iterator begin();
85 iterator end();
/gem5/src/cpu/testers/traffic_gen/
H A Dpygen.hh63 pybind11::iterator metaGenerator;
/gem5/src/mem/cache/prefetch/
H A Dassociative_set.hh201 using iterator = typename std::vector<Entry>::iterator;
204 * Returns an iterator to the first entry of the dictionary
205 * @result iterator to the first element
207 iterator begin()
213 * Returns an iterator pointing to the end of the the dictionary
215 * @result iterator to the end element
217 iterator end()
223 * Returns an iterator to the first entry of the dictionary
224 * @result iterator t
[all...]
/gem5/src/mem/qos/
H A Dq_policy.hh94 virtual PacketQueue::iterator selectPacket(PacketQueue* queue) = 0;
127 PacketQueue::iterator
148 PacketQueue::iterator
176 PacketQueue::iterator
H A Dq_policy.cc65 QueuePolicy::PacketQueue::iterator
68 QueuePolicy::PacketQueue::iterator ret = q->end();
71 std::unordered_map<MasterID, QueuePolicy::PacketQueue::iterator> track;
/gem5/src/systemc/ext/utils/
H A Dsc_vector.hh55 #include <iterator>
200 * Non-standard iterator access adapters. Without using these, the classes as
265 public std::iterator<std::random_access_iterator_tag,
287 typedef std::iterator<std::random_access_iterator_tag, AccessType>
296 typedef typename std::vector<void *>::iterator type;
318 // See ISO/IEC 14882:2003(E), 24.1 [lib.iterator.requirements]
446 typedef sc_vector_iter<T> iterator; typedef in class:sc_core::sc_vector
514 iterator begin() { return objs.begin(); }
515 iterator end() { return objs.end(); }
522 iterator
617 typedef sc_vector_iter<T, sc_member_access<T, MT> > iterator; typedef in class:sc_core::sc_vector_assembly
[all...]
/gem5/ext/systemc/src/sysc/utils/
H A Dsc_vector.h33 #include <iterator>
68 typename Container::iterator
72 , typename Container::iterator from );
76 typename Container::iterator
80 , typename Container::iterator from );
106 typedef storage_type::iterator iterator; typedef in class:sc_core::sc_vector_base
140 iterator begin() { return vec_.begin(); }
141 iterator end() { return vec_.end(); }
165 // iterator acces
335 typedef sc_vector_iter< element_type > iterator; typedef in class:sc_core::sc_vector
444 typedef sc_vector_iter< T, sc_member_access<T, MT> > iterator; typedef in class:sc_core::sc_vector_assembly
[all...]
H A Dsc_pvector.h57 typedef T* iterator; typedef in class:sc_core::sc_pvector
59 // typedef typename ::std::vector<T>::iterator iterator;
77 iterator begin()
78 { return (iterator) ADDR_ACCESS(0); }
83 iterator end()
84 { return static_cast<iterator> (ADDR_ACCESS(m_vector.size())); }
/gem5/src/dev/net/
H A Dpktfifo.hh83 typedef fifo_list::iterator iterator; typedef in class:PacketFifo
114 iterator begin() { return fifo.begin(); }
115 iterator end() { return fifo.end(); }
144 iterator entry = fifo.begin();
153 for (iterator i = begin(); i != end(); ++i)
160 void remove(iterator i)
163 iterator prev = i;
/gem5/src/systemc/ext/tlm_core/1/analysis/
H A Danalysis_port.hh52 typename std::deque<tlm_analysis_if<T> *>::iterator i =
65 typename std::deque<tlm_analysis_if<T> *>::iterator i;
/gem5/ext/systemc/src/sysc/kernel/
H A Dsc_attribute.h83 typedef std::vector<elem_type>::iterator iterator; typedef in class:sc_core::sc_attr_cltn
114 // get the begin iterator
115 iterator begin()
120 // get the end iterator
121 iterator end()
H A Dsc_name_gen.cpp52 sc_strhash<int*>::iterator it( m_unique_name_map );
H A Dsc_object_manager.h96 instance_table_t::iterator m_event_it; // event instance iterator.
100 instance_table_t::iterator m_object_it; // object instance iterator.
/gem5/ext/dsent/libutil/
H A DLibUtil.h39 for(typename std::vector<T*>::iterator it = vec_->begin(); it != vec_->end(); ++it)
/gem5/ext/mcpat/cacti/
H A DUcache.h64 list<mem_array *>::iterator tag_array_iter;
65 list<mem_array *>::iterator data_array_iter;

Completed in 32 milliseconds

1234567