Searched refs:interface (Results 1 - 25 of 31) sorted by relevance

12

/gem5/src/systemc/ext/core/
H A Dsc_export.hh66 sc_export_base(sc_gen_unique_name("export")), interface(nullptr)
69 sc_export_base(n), interface(nullptr)
79 if (interface) {
83 interface = &i;
87 if (!interface)
89 return *interface;
91 operator const IF & () const { return *interface; }
96 if (!interface)
98 return interface;
103 if (!interface)
126 IF *interface; member in class:sc_core::sc_export
[all...]
H A Dsc_port.hh200 IF *interface = dynamic_cast<IF *>(&i); local
201 if (!interface)
203 sc_port_base::bind(*interface);
231 IF *interface = dynamic_cast<IF *>(iface); local
232 sc_assert(interface);
234 if (interface == _interfaces[i]) {
236 "interface already bound to port");
239 _interfaces.push_back(interface);
259 explicit sc_port(const IF &interface) : sc_port_b<IF>(N, P) argument
262 sc_port_b<IF>::bind(const_cast<IF &>(interface));
264 sc_port(const char *name, const IF &interface) argument
[all...]
/gem5/src/systemc/core/
H A Dport.hh91 explicit Binding(::sc_core::sc_interface *interface) : argument
92 interface(interface), port(nullptr)
96 interface(nullptr), port(port)
99 ::sc_core::sc_interface *interface; member in struct:sc_gem5::Port::Binding
140 bind(::sc_core::sc_interface *interface) argument
143 addInterface(interface);
145 bindings.push_back(new Binding(interface));
H A Dmodule.cc119 if (proxy->interface())
120 port->vbind(*proxy->interface());
H A Dport.cc103 if (b->interface) {
104 addInterface(b->interface);
/gem5/src/systemc/ext/channel/
H A Dsc_out.hh48 explicit sc_out(const sc_signal_inout_if<T> &interface) : argument
49 sc_inout<T>(interface)
51 sc_out(const char *name, const sc_signal_inout_if<T> &interface) : argument
52 sc_inout<T>(name, interface)
H A Dsc_fifo_in.hh57 explicit sc_fifo_in(const sc_fifo_in_if<T> &interface) : argument
58 sc_port<sc_fifo_in_if<T>, 0>(interface),
61 sc_fifo_in(const char *name, const sc_fifo_in_if<T> &interface) : argument
62 sc_port<sc_fifo_in_if<T>, 0>(name, interface),
H A Dsc_fifo_out.hh56 explicit sc_fifo_out(const sc_fifo_out_if<T> &interface) : argument
57 sc_port<sc_fifo_out_if<T>, 0>(interface),
60 sc_fifo_out(const char *name, const sc_fifo_out_if<T> &interface) : argument
61 sc_port<sc_fifo_out_if<T>, 0>(name, interface),
H A Dsc_in.hh61 explicit sc_in(const sc_signal_in_if<T> &interface) : argument
62 sc_port<sc_signal_in_if<T>, 1>(interface),
65 sc_in(const char *name, const sc_signal_in_if<T> &interface) : argument
66 sc_port<sc_signal_in_if<T>, 1>(name, interface),
186 explicit sc_in(const sc_signal_in_if<bool> &interface) : argument
187 sc_port<sc_signal_in_if<bool>, 1>(interface),
193 sc_in(const char *name, const sc_signal_in_if<bool> &interface) : argument
194 sc_port<sc_signal_in_if<bool>, 1>(name, interface),
350 explicit sc_in(const sc_signal_in_if<sc_dt::sc_logic> &interface) : argument
351 sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(interface),
357 sc_in(const char *name, const sc_signal_in_if<sc_dt::sc_logic> &interface) argument
[all...]
H A Dsc_inout.hh69 explicit sc_inout(const sc_signal_inout_if<T> &interface) : argument
70 sc_port<sc_signal_inout_if<T>, 1>(interface), initValue(nullptr),
73 sc_inout(const char *name, const sc_signal_inout_if<T> &interface) : argument
74 sc_port<sc_signal_inout_if<T>, 1>(name, interface), initValue(nullptr),
214 explicit sc_inout(const sc_signal_inout_if<bool> &interface) : argument
215 sc_port<sc_signal_inout_if<bool>, 1>(interface), initValue(nullptr),
221 sc_inout(const char *name, const sc_signal_inout_if<bool> &interface) : argument
222 sc_port<sc_signal_inout_if<bool>, 1>(name, interface),
390 explicit sc_inout(const sc_signal_inout_if<sc_dt::sc_logic> &interface) : argument
391 sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(interface),
398 sc_inout(const char *name, const sc_signal_inout_if<sc_dt::sc_logic> &interface) argument
[all...]
/gem5/src/systemc/
H A Dsc_port_wrapper.hh83 port_.bind(iface->interface());
104 interface() function in class:sc_gem5::ScInterfaceWrapper
125 // this interface has already be bound since the peer may already did
164 port_.bind(iface->interface());
/gem5/src/dev/net/
H A Detherlink.cc77 interface[0] = new Interface(name() + ".int0", link[0], link[1]);
78 interface[1] = new Interface(name() + ".int1", link[1], link[0]);
87 delete interface[0];
88 delete interface[1];
95 return *interface[0];
97 return *interface[1];
H A Dethertap.cc96 interface(NULL),
100 interface = new EtherTapInt(name() + ".interface", this);
107 delete interface;
166 return *interface;
181 interface->recvDone();
197 if (!packetBuffer.empty() || !interface->sendPacket(packet)) {
214 if (interface->sendPacket(packet)) {
H A Detherswitch.cc49 std::string interfaceName = csprintf("%s.interface%d", name(), i);
50 Interface *interface = new Interface(interfaceName, this, local
53 interfaces.push_back(interface);
68 if (if_name == "interface") {
160 // the interface successfully and there is no notion of busy
161 // interface here (as we don't have inputFifo)
168 // assuming per-interface transmission events,
233 uint64_t(destMacAddr), it->second.interface->name());
234 return it->second.interface;
251 forwardingTableEntry.interface
[all...]
H A Dethertap.hh100 EtherTapInt *interface; member in class:EtherTapBase
H A Detherlink.hh141 Interface *interface[2]; member in class:EtherLink
H A Detherswitch.hh172 * output fifo at each interface
180 Interface *interface; member in struct:EtherSwitch::SwitchTableEntry
H A DEthernet.py61 int0 = EtherInt("interface 0")
62 int1 = EtherInt("interface 1")
71 int0 = EtherInt("interface 0")
89 loopback = Param.Bool(True, "send packet back to the sending interface")
99 interface = VectorEtherInt("Ethernet Interface") variable in class:EtherSwitch
111 tap = EtherInt("Ethernet interface to connect to gem5's network")
136 interface = EtherInt("Ethernet Interface") variable in class:EtherDevice
H A Dsinic.hh65 Interface *interface; member in class:Sinic::Base
228 * device ethernet interface
/gem5/configs/dist/
H A Dsw.py63 link.int0 = switch.interface[i]
/gem5/src/mem/qos/
H A Dmem_sink.cc110 MemSinkCtrl::getPort(const std::string &interface, PortID idx) argument
112 if (interface != "port") {
113 return MemCtrl::getPort(interface, idx);
/gem5/configs/example/arm/
H A Ddist_bigLITTLE.py117 system.etherlink.int0 = Parent.system.ethernet.interface
/gem5/configs/common/
H A DFSConfig.py641 # Reserve the last 16kB of the 32-bit address space for the m5op interface
668 self.etherlink.int0 = Parent.testsys.realview.ethernet.interface
669 self.etherlink.int1 = Parent.drivesys.realview.ethernet.interface
671 self.etherlink.int0 = Parent.testsys.tsunami.ethernet.interface
672 self.etherlink.int1 = Parent.drivesys.tsunami.ethernet.interface
706 self.etherlink.int0 = Parent.testsys.realview.ethernet.interface
708 self.etherlink.int0 = Parent.testsys.tsunami.ethernet.interface
/gem5/src/systemc/dt/int/
H A Dsc_nbcommon.inc29 of the class that they interface to.
/gem5/src/mem/slicc/symbols/
H A DType.py62 # check for interface that this Type implements
63 if "interface" in self:
64 interface = self["interface"]
65 if interface in ("Message"):
123 return "interface" in self
212 if "interface" in self:
213 code('#include "mem/ruby/protocol/$0.hh"', self["interface"])
214 parent = " : public %s" % self["interface"]
224 code('(Tick curTime) : %s(curTime) {' % self["interface"])
[all...]

Completed in 41 milliseconds

12