Searched refs:add_trace (Results 1 - 8 of 8) sorted by relevance

/gem5/ext/systemc/src/sysc/communication/
H A Dsc_signal_ports.cpp73 sc_in<bool>::add_trace(sc_trace_file* tf_, function in class:sc_core::sc_in
156 sc_in<sc_dt::sc_logic>::add_trace( sc_trace_file* tf_, function in class:sc_core::sc_in
273 sc_inout<bool>::add_trace( sc_trace_file* tf_, function in class:sc_core::sc_inout
365 sc_inout<sc_dt::sc_logic>::add_trace( sc_trace_file* tf_, function in class:sc_core::sc_inout
H A Dsc_signal_ports.h242 void add_trace( sc_trace_file*, const std::string& ) const;
332 sc_in<T>::add_trace( sc_trace_file* tf_, const std::string& name_ ) function in class:sc_core::sc_in
609 void add_trace( sc_trace_file*, const std::string& ) const;
876 void add_trace( sc_trace_file*, const std::string& ) const;
1086 void add_trace( sc_trace_file*, const std::string& ) const;
1193 sc_inout<T>::add_trace( sc_trace_file* tf_, const std::string& name_) const function in class:sc_core::sc_inout
1422 void add_trace( sc_trace_file*, const std::string& ) const;
1658 void add_trace( sc_trace_file*, const std::string& ) const;
/gem5/src/systemc/ext/channel/
H A Dsc_in.hh141 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_in
161 i.add_trace(tf, name);
301 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_in
324 i.add_trace(tf, name);
461 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_in
485 i.add_trace(tf, name);
H A Dsc_inout.hh169 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_inout
189 i.add_trace(tf, name);
340 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_inout
362 i.add_trace(tf, name);
530 add_trace(sc_trace_file *tf, const std::string &name) const function in class:sc_core::sc_inout
554 i.add_trace(tf, name);
/gem5/src/systemc/tests/include/specialized_signals/
H A Dscx_signal_int.h943 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_in
1221 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_inout
H A Dscx_signal_uint.h999 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_in
1279 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_inout
H A Dscx_signal_signed.h1125 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_in
1403 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_inout
H A Dscx_signal_unsigned.h1121 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_in
1399 void add_trace( sc_trace_file* tf_, const std::string& name_ ) const function in class:sc_core::sc_inout

Completed in 49 milliseconds