Searched refs:MixColumns (Results 1 - 1 of 1) sorted by relevance

/gem5/src/systemc/tests/systemc/examples/aes/
H A Daes.cpp704 void MixColumns(sc_uint<8> a[4][4]);
832 (void)MixColumns(R);
904 AES_Encrypt::MixColumns(sc_uint<8> a[4][4]) function in class:AES_Encrypt

Completed in 8 milliseconds