Searched refs:AddRoundKey (Results 1 - 1 of 1) sorted by relevance

/gem5/src/systemc/tests/systemc/examples/aes/
H A Daes.cpp41 void AddRoundKey(sc_uint<8> a[4][4], const sc_uint<8> rk[4][4]);
142 AES_Base::AddRoundKey(sc_uint<8> a[4][4], const sc_uint<8> rk[4][4]) function in class:AES_Base
393 (void)AddRoundKey(R,rk[10]);
394 //Dump_R("10: AES_Decrypt::AddRoundKey", R);
403 (void)AddRoundKey(R, rk[i]);
404 //printf("%d: ", (int)i); Dump_R("AES_Decrypt::AddRoundKey", R);
416 (void)AddRoundKey(R, rk[0]);
417 //Dump_R("0: AES_Decrypt::AddRoundKey", R);
822 (void)AddRoundKey(R, rk[0]);
823 //Dump_R("0: AES_Encrypt::AddRoundKey",
[all...]

Completed in 6 milliseconds