Searched refs:tlm (Results 51 - 75 of 158) sorted by relevance

1234567

/gem5/ext/systemc/src/tlm_core/tlm_2/tlm_generic_payload/
H A Dtlm_phase.h27 namespace tlm { namespace
70 class tlm_phase_##name_arg:public tlm::tlm_phase{ \
74 tlm_phase_##name_arg():tlm::tlm_phase(tlm::create_phase_number()){tlm::get_phase_name_vec().push_back(get_char_##name_arg());};\
85 } // namespace tlm
/gem5/src/systemc/tests/include/
H A DSimpleBusAT.h24 #include "tlm.h"
35 typedef tlm::tlm_generic_payload transaction_type;
36 typedef tlm::tlm_phase phase_type;
37 typedef tlm::tlm_sync_enum sync_enum_type;
116 phase_type phase = tlm::BEGIN_REQ;
122 case tlm::TLM_ACCEPTED:
123 case tlm::TLM_UPDATED:
125 if (phase == tlm::BEGIN_REQ) {
129 } else if (phase == tlm::END_REQ) {
133 } else if (phase == tlm
[all...]
H A DSimpleLTInitiator1_DMI.h35 #include "tlm.h"
43 public virtual tlm::tlm_bw_transport_if<>
46 typedef tlm::tlm_generic_payload transaction_type;
47 typedef tlm::tlm_dmi dmi_type;
48 typedef tlm::tlm_phase phase_type;
49 typedef tlm::tlm_sync_enum sync_enum_type;
50 typedef tlm::tlm_fw_transport_if<> fw_interface_type;
51 typedef tlm::tlm_bw_transport_if<> bw_interface_type;
52 typedef tlm::tlm_initiator_socket<> initiator_socket_type;
85 trans.set_command(tlm
[all...]
H A DSimpleLTTarget2.h23 #include "tlm.h"
31 typedef tlm::tlm_generic_payload transaction_type;
32 typedef tlm::tlm_phase phase_type;
33 typedef tlm::tlm_sync_enum sync_enum_type;
62 if (trans.get_command() == tlm::TLM_WRITE_COMMAND) {
80 trans.set_response_status(tlm::TLM_OK_RESPONSE);
89 assert(phase == tlm::BEGIN_REQ);
96 return tlm::TLM_COMPLETED;
125 tlm::tlm_dmi& dmi_data)
H A DSimpleLTTarget1.h23 #include "tlm.h"
29 public virtual tlm::tlm_fw_transport_if<>
32 typedef tlm::tlm_generic_payload transaction_type;
33 typedef tlm::tlm_phase phase_type;
34 typedef tlm::tlm_sync_enum sync_enum_type;
35 typedef tlm::tlm_fw_transport_if<> fw_interface_type;
36 typedef tlm::tlm_bw_transport_if<> bw_interface_type;
37 typedef tlm::tlm_target_socket<32> target_socket_type;
65 return tlm::TLM_COMPLETED;
74 if (trans.get_command() == tlm
[all...]
H A DCoreDecouplingLTInitiator.h35 #include "tlm.h"
45 typedef tlm::tlm_generic_payload transaction_type;
74 trans.set_command(tlm::TLM_WRITE_COMMAND);
79 trans.set_command(tlm::TLM_READ_COMMAND);
88 trans.set_response_status(tlm::TLM_INCOMPLETE_RESPONSE);
96 if (trans.get_command() == tlm::TLM_WRITE_COMMAND) {
117 if (trans.get_response_status() != tlm::TLM_OK_RESPONSE) {
126 if (trans.get_command() == tlm::TLM_READ_COMMAND) {
H A DSimpleLTInitiator2_DMI.h35 #include "tlm.h"
46 typedef tlm::tlm_generic_payload transaction_type;
47 typedef tlm::tlm_dmi dmi_type;
48 typedef tlm::tlm_phase phase_type;
49 typedef tlm::tlm_sync_enum sync_enum_type;
83 trans.set_command(tlm::TLM_WRITE_COMMAND);
88 trans.set_command(tlm::TLM_READ_COMMAND);
98 trans.set_response_status(tlm::TLM_INCOMPLETE_RESPONSE);
106 if (trans.get_command() == tlm::TLM_WRITE_COMMAND) {
121 if (trans.get_response_status() != tlm
[all...]
/gem5/ext/systemc/src/tlm_utils/
H A Dsimple_target_socket.h41 #include <tlm>
49 typename TYPES = tlm::tlm_base_protocol_types>
51 public tlm::tlm_target_socket<BUSWIDTH, TYPES>
58 typedef tlm::tlm_sync_enum sync_enum_type;
59 typedef tlm::tlm_fw_transport_if<TYPES> fw_interface_type;
60 typedef tlm::tlm_bw_transport_if<TYPES> bw_interface_type;
61 typedef tlm::tlm_target_socket<BUSWIDTH, TYPES> base_type;
80 using tlm::tlm_target_socket<BUSWIDTH, TYPES>::bind;
83 tlm::tlm_bw_transport_if<TYPES> * operator ->() {return &m_bw_process;}
112 tlm
[all...]
H A Dsimple_initiator_socket.h23 #include <tlm>
30 typename TYPES = tlm::tlm_base_protocol_types>
32 public tlm::tlm_initiator_socket<BUSWIDTH, TYPES>
37 typedef tlm::tlm_sync_enum sync_enum_type;
38 typedef tlm::tlm_fw_transport_if<TYPES> fw_interface_type;
39 typedef tlm::tlm_bw_transport_if<TYPES> bw_interface_type;
40 typedef tlm::tlm_initiator_socket<BUSWIDTH, TYPES> base_type;
72 class process : public tlm::tlm_bw_transport_if<TYPES>
127 return tlm::TLM_ACCEPTED; ///< unreachable code
155 typename TYPES = tlm
[all...]
H A Dmulti_passthrough_target_socket.h40 typename TYPES = tlm::tlm_base_protocol_types,
59 // tlm 2.0 types for nb_transport
62 typedef tlm::tlm_sync_enum sync_enum_type;
68 typedef bool (MODULE::*dmi_cb)(int, transaction_type& txn, tlm::tlm_dmi& dmi);
123 if (!sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES> >::get_interface())
141 sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES> >::bind(*binder);
215 virtual tlm::tlm_fw_transport_if<TYPES>& get_base_interface()
229 virtual const tlm::tlm_fw_transport_if<TYPES>& get_base_interface() const
236 virtual sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES> >& get_base_export()
242 virtual const sc_core::sc_export<tlm
[all...]
H A Dmulti_socket_bases.h24 #include <tlm>
96 #define TLM_RET_VAL tlm::tlm_sync_enum
121 #define TLM_FULL_ARG_LIST typename TRAITS::tlm_payload_type& txn, tlm::tlm_dmi& dmi
145 class callback_binder_fw: public tlm::tlm_fw_transport_if<TYPES>{
150 typedef tlm::tlm_sync_enum sync_enum_type;
173 return tlm::TLM_ACCEPTED; //unreachable
187 bool get_direct_mem_ptr(transaction_type& trans, tlm::tlm_dmi& dmi_data){
250 class callback_binder_bw: public tlm::tlm_bw_transport_if<TYPES>{
255 typedef tlm::tlm_sync_enum sync_enum_type;
275 return tlm
[all...]
H A Dpassthrough_target_socket.h23 #include <tlm>
30 typename TYPES = tlm::tlm_base_protocol_types>
32 public tlm::tlm_target_socket<BUSWIDTH, TYPES>
37 typedef tlm::tlm_sync_enum sync_enum_type;
38 typedef tlm::tlm_fw_transport_if<TYPES> fw_interface_type;
39 typedef tlm::tlm_bw_transport_if<TYPES> bw_interface_type;
40 typedef tlm::tlm_target_socket<BUSWIDTH, TYPES> base_type;
57 using tlm::tlm_target_socket<BUSWIDTH, TYPES>::bind;
83 tlm::tlm_dmi&))
89 class process : public tlm
[all...]
/gem5/src/systemc/
H A Dtlm_port_wrapper.hh53 typedef tlm::tlm_base_initiator_socket<BUSWIDTH, FW_IF, BW_IF, N, POL>
91 typedef tlm::tlm_base_target_socket<BUSWIDTH, FW_IF, BW_IF, N, POL>
120 typename TYPES=tlm::tlm_base_protocol_types, int N=1,
123 TlmInitiatorBaseWrapper<BUSWIDTH, tlm::tlm_fw_transport_if<TYPES>,
124 tlm::tlm_bw_transport_if<TYPES>, N, POL>;
127 typename TYPES=tlm::tlm_base_protocol_types, int N=1,
130 TlmTargetBaseWrapper<BUSWIDTH, tlm::tlm_fw_transport_if<TYPES>,
131 tlm::tlm_bw_transport_if<TYPES>, N, POL>;
/gem5/src/systemc/tests/tlm/cancel_all/
H A Dcancel_all.cpp7 #include "tlm.h"
25 tlm::tlm_generic_payload *trans;
26 tlm::tlm_phase phase;
29 trans = new tlm::tlm_generic_payload;
41 trans = new tlm::tlm_generic_payload;
52 void peq_cb(tlm::tlm_generic_payload& trans, const tlm::tlm_phase& phase)
95 tlm::tlm_generic_payload *trans;
98 trans = new tlm::tlm_generic_payload;
110 trans = new tlm
[all...]
/gem5/src/systemc/ext/tlm_utils/
H A Dmulti_passthrough_target_socket.h41 typename TYPES=tlm::tlm_base_protocol_types, unsigned int N=0,
49 // tlm 2.0 types for nb_transport
52 typedef tlm::tlm_sync_enum sync_enum_type;
60 int, transaction_type &txn, tlm::tlm_dmi &dmi);
92 if (!sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES>>::
108 sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES>>::bind(*binder);
182 virtual tlm::tlm_fw_transport_if<TYPES> &
201 virtual const tlm::tlm_fw_transport_if<TYPES> &
211 virtual sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES>> &
219 virtual const sc_core::sc_export<tlm
[all...]
H A Dmulti_passthrough_initiator_socket.h39 typename TYPES=tlm::tlm_base_protocol_types, unsigned int N=0,
47 // tlm 2.0 types for nb_transport
50 typedef tlm::tlm_sync_enum sync_enum_type;
117 virtual tlm::tlm_bw_transport_if<TYPES> &
126 virtual const tlm::tlm_bw_transport_if<TYPES> &
138 virtual sc_core::sc_export<tlm::tlm_bw_transport_if<TYPES>> &
150 virtual const sc_core::sc_export<tlm::tlm_bw_transport_if<TYPES>> &
170 sc_core::sc_export<tlm::tlm_fw_transport_if<TYPES>> *p_ex_s =
172 tlm::tlm_fw_transport_if<TYPES>> *>(&s);
189 sc_core::sc_export<tlm
[all...]
H A Dmulti_socket_bases.h111 #define TLM_RET_VAL tlm::tlm_sync_enum
140 typename TRAITS::tlm_payload_type &txn, tlm::tlm_dmi &dmi
164 class callback_binder_fw : public tlm::tlm_fw_transport_if<TYPES>,
171 typedef tlm::tlm_sync_enum sync_enum_type;
195 return tlm::TLM_COMPLETED;
214 get_direct_mem_ptr(transaction_type &trans, tlm::tlm_dmi &dmi_data)
286 class callback_binder_bw : public tlm::tlm_bw_transport_if<TYPES>,
293 typedef tlm::tlm_sync_enum sync_enum_type;
316 return tlm::TLM_COMPLETED;
350 template <typename TYPES=tlm
[all...]
/gem5/ext/systemc/src/tlm_core/tlm_1/tlm_analysis/
H A Dtlm_analysis_if.h25 namespace tlm { namespace
37 } // namespace tlm
/gem5/util/tlm/src/
H A Dmaster_transactor.hh41 #include <tlm>
H A Dslave_transactor.hh41 #include <tlm>
/gem5/src/python/m5/
H A D__init__.py56 from . import tlm
/gem5/src/systemc/ext/tlm_core/1/analysis/
H A Danalysis_fifo.hh27 namespace tlm namespace
44 } // namespace tlm
/gem5/src/systemc/ext/tlm_core/2/quantum/
H A Dglobal_quantum.hh25 namespace tlm namespace
74 } // namespace tlm
/gem5/util/tlm/conf/
H A Dtlm_elastic_slave.py107 system.tlm = ExternalSlave()
108 system.tlm.addr_ranges = [AddrRange('512MB')]
109 system.tlm.port_type = "tlm_slave"
110 system.tlm.port_data = "transactor"
117 system.membus.master = system.tlm.port
/gem5/util/tlm/examples/
H A Dtlm_elastic_slave_with_l2.py115 system.tlm = ExternalSlave()
116 system.tlm.addr_ranges = [AddrRange('4096MB')]
117 system.tlm.port_type = "tlm_slave"
118 system.tlm.port_data = "transactor1"
127 system.membus.master = system.tlm.port

Completed in 28 milliseconds

1234567