Searched refs:sc_clock (Results 26 - 50 of 900) sorted by relevance

1234567891011>>

/gem5/src/systemc/tests/systemc/misc/unit/control/timing/
H A Dtb.h48 sc_clock& TICK_N )
/gem5/src/systemc/tests/systemc/misc/unit/control/wait_until/
H A Dtb.h49 sc_clock& TICK_P )
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_infinity/
H A Dmain.cpp51 sc_clock clk ("CLK", 6, SC_NS, 0.5, 0, SC_NS); // 167 Mhz
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_time/
H A Dmain.cpp51 sc_clock clk ("CLK", 6, SC_NS, 0.5, 0, SC_NS); // 167 Mhz
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.4/
H A Dpipeline.cpp50 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt7.1/
H A Dtestbench.h51 sc_clock& CLK)
/gem5/src/systemc/tests/systemc/kernel/sc_lambda/test01/
H A Dtest01.cpp78 sc_clock clk1( "clk1", 0.1, SC_NS );
79 sc_clock clk2( "clk2", 0.5, SC_NS );
/gem5/ext/systemc/src/sysc/communication/
H A Dsc_clock.cpp22 sc_clock.cpp -- The clock channel.
32 // Andy Goodrich: Changes for check writer support, and tightening up sc_clock
39 #include "sysc/communication/sc_clock.h"
49 // CLASS : sc_clock
56 sc_clock::sc_clock() : function in class:sc_core::sc_clock
74 sc_clock::sc_clock( const char* name_ ) : function in class:sc_core::sc_clock
91 sc_clock::sc_clock( cons function in class:sc_core::sc_clock
118 sc_clock::sc_clock( const char* name_, function in class:sc_core::sc_clock
139 sc_clock::sc_clock( const char* name_, function in class:sc_core::sc_clock
169 sc_clock::sc_clock( const char* name_, function in class:sc_core::sc_clock
[all...]
/gem5/src/systemc/tests/systemc/misc/gnats/pr-130/
H A Dpr-130.cpp49 sc_clock& CLK,
68 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/unit/structure/clocks/
H A Dtb.h55 sc_clock& TICK,
56 sc_clock& TICK2 )
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.3/
H A Dtb.h55 sc_clock& TICK,
56 sc_clock& TICK2)
/gem5/src/systemc/tests/systemc/communication/ports/test05/
H A Dtest05.cpp57 sc_clock clock;
/gem5/src/systemc/tests/systemc/communication/sc_clock/test04/
H A Dtest04.cpp39 // test of sc_clock::time_stamp()
50 sc_clock c1( "c1", t1, 0.1, t2 );
/gem5/src/systemc/tests/systemc/communication/sc_export/test02/
H A Dtest02.cpp15 sc_clock clock;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test08/
H A Dtest08.cpp59 sc_clock clk;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test09/
H A Dtest09.cpp59 sc_clock clk;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test10/
H A Dtest10.cpp59 sc_clock clk;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test11/
H A Dtest11.cpp59 sc_clock clk;
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test12/
H A Dtest12.cpp59 sc_clock clk;
/gem5/src/systemc/tests/systemc/kernel/sc_sensitive/test04/
H A Dtest04.cpp25 sc_clock clock;
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_datatypes/
H A Ddisplay.h51 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_exit/
H A Ddisplay.h51 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_fsm/
H A Ddisplay.h51 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/while_datatypes/
H A Ddisplay.h51 sc_clock& CLK,
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/while_exit/
H A Ddisplay.h51 sc_clock& CLK,

Completed in 9 milliseconds

1234567891011>>