Searched refs:clk (Results 201 - 225 of 780) sorted by relevance

1234567891011>>

/gem5/src/systemc/tests/systemc/misc/v1.0/dash3/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
59 sensitive << clk << start;
/gem5/src/systemc/tests/systemc/misc/v1.0/dash4/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
59 sensitive << clk << start;
/gem5/src/systemc/tests/systemc/misc/v1.0/dash5/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
59 sensitive << clk << start;
/gem5/src/systemc/tests/systemc/misc/v1.0/dash6/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
58 SC_CTHREAD( gen_pulse_proc, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/v1.0/dash7/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
58 SC_CTHREAD( gen_pulse_proc, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/v1.0/dash8/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
58 SC_CTHREAD( gen_pulse_proc, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/v1.0/dash9/
H A Dpulse.h44 sc_in_clk clk; // Clock for the pulse generator. local
58 SC_CTHREAD( gen_pulse_proc, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/sim_tests/async_clock/
H A Dasync_clock.cpp44 sc_in_clk clk; local
49 clk( CLK );
50 SC_CTHREAD( entry, clk.pos() );
66 sc_in_clk clk; local
71 clk( CLK );
72 SC_CTHREAD( entry, clk.pos() );
88 sc_in_clk clk; local
93 clk( CLK );
94 SC_CTHREAD( entry, clk.pos() );
113 sc_in_clk clk; local
130 sensitive << a << b << clk; local
[all...]
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test01/
H A Dtest01.cpp45 sc_in_clk clk; local
77 sensitive << clk.pos();
80 sensitive << clk.neg();
88 sc_clock clk; local
98 a(clk,sig_int,sig_bool,sig_logic,buf_int,sig_resolved,sig_rv1);
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test04/
H A Dtest04.cpp45 sc_in_clk clk; local
77 sensitive << clk.pos();
80 sensitive << clk.neg();
88 sc_clock clk; local
98 a(clk, sig_int, sig_bool, sig_logic, buf_int, sig_resolved, sig_rv1);
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test05/
H A Dtest05.cpp45 sc_in_clk clk; local
77 sensitive << clk.pos();
80 sensitive << clk.neg();
88 sc_clock clk; local
98 a(clk, sig_int, sig_bool, sig_logic, buf_int, sig_resolved, sig_rv1);
/gem5/src/systemc/tests/systemc/communication/sc_signal/check_writer/test06/
H A Dtest06.cpp45 sc_in_clk clk; local
77 sensitive << clk.pos();
80 sensitive << clk.neg();
88 sc_clock clk; local
98 a(clk, sig_int, sig_bool, sig_logic, buf_int, sig_resolved, sig_rv1);
/gem5/src/systemc/tests/systemc/communication/sc_signal_resolved/test04/
H A Dtest04.cpp45 sc_in<bool> clk; local
68 sensitive << clk.pos();
76 sc_clock clk("clk",5, SC_NS);
78 a.clk( clk );
/gem5/src/systemc/tests/systemc/kernel/sc_stop/test01/
H A Dtest01.cpp46 sensitive << clk.pos();
49 sensitive << clk.pos();
52 sensitive << clk.pos();
82 sc_in_clk clk; local
89 x.clk(clock);
/gem5/src/systemc/tests/systemc/kernel/sc_stop/test02/
H A Dtest02.cpp46 sensitive << clk.pos();
49 sensitive << clk.pos();
52 sensitive << clk.pos();
82 sc_in_clk clk; local
89 x.clk(clock);
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/addition/addition/
H A Ddisplay.h44 sc_in_clk clk; local
70 clk(CLK);
71 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/addition/increment/
H A Dstimulus.h44 sc_in_clk clk; local
64 clk (CLK);
65 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/addition/sharing/
H A Ddisplay.h44 sc_in_clk clk; local
70 clk(CLK);
71 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/divide/datatypes/
H A Ddisplay.h45 sc_in_clk clk; local
73 clk (CLK);
74 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/divide/divide/
H A Ddisplay.h45 sc_in_clk clk; local
71 clk(CLK);
72 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/modulo/
H A Ddisplay.h45 sc_in_clk clk; local
71 clk(CLK);
72 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/mult/
H A Ddisplay.h45 sc_in_clk clk; local
71 clk(CLK);
72 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/subtract/bitwidth/
H A Ddisplay.h45 sc_in_clk clk; local
73 clk (CLK);
74 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/subtract/decrement/
H A Dstimulus.h45 sc_in_clk clk; local
73 clk (CLK);
74 SC_CTHREAD( entry, clk.pos() );
/gem5/src/systemc/tests/systemc/misc/cae_test/general/arith/subtract/sharing/
H A Ddisplay.h45 sc_in_clk clk; local
76 clk (CLK);
77 SC_CTHREAD( entry, clk.pos() );

Completed in 17 milliseconds

1234567891011>>