Searched defs:sc_create_vcd_trace_file (Results 1 - 2 of 2) sorted by relevance

/gem5/src/systemc/utils/
H A Dsc_trace_file.cc55 sc_create_vcd_trace_file(const char *name) function in namespace:sc_core
/gem5/ext/systemc/src/sysc/tracing/
H A Dsc_vcd_trace.cpp2162 sc_create_vcd_trace_file(const char * name) function in namespace:sc_core

Completed in 17 milliseconds