simout (10260:384d554cea8c) simout (11219:b65d4e878ed2)
1Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simout
2Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simerr
3gem5 Simulator System. http://gem5.org
4gem5 is copyrighted software; use the --copyright option for details.
5
1Redirecting stdout to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simout
2Redirecting stderr to build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing/simerr
3gem5 Simulator System. http://gem5.org
4gem5 is copyrighted software; use the --copyright option for details.
5
6gem5 compiled May 7 2014 10:41:53
7gem5 started May 7 2014 10:42:15
8gem5 executing on cz3212c2d7
9command line: build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing -re tests/run.py build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing
6gem5 compiled Nov 15 2015 14:28:00
7gem5 started Nov 15 2015 14:28:24
8gem5 executing on ribera.cs.wisc.edu, pid 29048
9command line: build/ALPHA/gem5.opt -d build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing -re /scratch/nilay/GEM5/gem5/tests/run.py build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing
10
10Global frequency set at 1000000000000 ticks per second
11info: Entering event queue @ 0. Starting simulation...
12info: Increasing stack size by one page.
13Hello world!
11Global frequency set at 1000000000000 ticks per second
12info: Entering event queue @ 0. Starting simulation...
13info: Increasing stack size by one page.
14Hello world!
14Exiting @ tick 35190500 because target called exit()
15Exiting @ tick 37553000 because target called exit()