test01.log (12855:588919e0e4aa) test01.log (13278:a059617d0d44)
1SystemC Simulation
2
3Error: (E115) sc_signal<T> cannot have more than one driver:
4 signal `t1.signal_0' (sc_signal)
1SystemC Simulation
2
3Error: (E115) sc_signal<T> cannot have more than one driver:
4 signal `t1.signal_0' (sc_signal)
5 first driver `t1.w2.port_0' (sc_out)
5 first driver `t1.w2.port_0' (sc_out)
6 second driver `t1.w1.port_0' (sc_out)
7In file: <removed by verify.pl>
6 second driver `t1.w1.port_0' (sc_out)
7In file: <removed by verify.pl>