sc_module.hh (13091:81fceed26e1e) sc_module.hh (13135:4bb2f323fb1a)
1/*
2 * Copyright 2018 Google, Inc.
3 *
4 * Redistribution and use in source and binary forms, with or without
5 * modification, are permitted provided that the following conditions are
6 * met: redistributions of source code must retain the above copyright
7 * notice, this list of conditions and the following disclaimer;
8 * redistributions in binary form must reproduce the above copyright
9 * notice, this list of conditions and the following disclaimer in the
10 * documentation and/or other materials provided with the distribution;
11 * neither the name of the copyright holders nor the names of its
12 * contributors may be used to endorse or promote products derived from
13 * this software without specific prior written permission.
14 *
15 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
16 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
17 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
18 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
19 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
20 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
21 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
22 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
23 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
24 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
25 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
26 *
27 * Authors: Gabe Black
28 */
29
30#ifndef __SYSTEMC_CORE_EXT_SC_MODULE_HH__
31#define __SYSTEMC_CORE_EXT_SC_MODULE_HH__
32
33#include <vector>
34
35#include "sc_object.hh"
36#include "sc_process_handle.hh"
37#include "sc_sensitive.hh"
38#include "sc_time.hh"
39
40namespace sc_dt
41{
42
43class sc_logic;
44
45} // namespace sc_dt
46
47namespace sc_gem5
48{
49
50class Kernel;
51class Module;
52class Process;
53struct ProcessFuncWrapper;
54
55Process *newMethodProcess(const char *name, ProcessFuncWrapper *func);
56Process *newThreadProcess(const char *name, ProcessFuncWrapper *func);
57Process *newCThreadProcess(const char *name, ProcessFuncWrapper *func);
58
59} // namespace sc_gem5
60
61namespace sc_core
62{
63
64template <class T>
65class sc_in;
66template <class T>
67class sc_out;
68template <class T>
69class sc_inout;
70template <class T>
71class sc_signal_in_if;
72
73class sc_event;
74class sc_event_and_list;
75class sc_event_or_list;
76class sc_module_name;
77
78class sc_bind_proxy
79{
80 private:
81 sc_interface *_interface;
82 sc_port_base *_port;
83
84 public:
85 sc_bind_proxy(sc_interface &_interface);
86 sc_bind_proxy(sc_port_base &_port);
87
88 sc_interface *interface() const { return _interface; }
89 sc_port_base *port() const { return _port; }
90};
91
92extern const sc_bind_proxy SC_BIND_PROXY_NIL;
93
94class sc_module : public sc_object
95{
96 public:
97 friend class ::sc_gem5::Kernel;
98
99 virtual ~sc_module();
100
101 virtual const char *kind() const { return "sc_module"; }
102
103 void operator () (const sc_bind_proxy &p001,
104 const sc_bind_proxy &p002 = SC_BIND_PROXY_NIL,
105 const sc_bind_proxy &p003 = SC_BIND_PROXY_NIL,
106 const sc_bind_proxy &p004 = SC_BIND_PROXY_NIL,
107 const sc_bind_proxy &p005 = SC_BIND_PROXY_NIL,
108 const sc_bind_proxy &p006 = SC_BIND_PROXY_NIL,
109 const sc_bind_proxy &p007 = SC_BIND_PROXY_NIL,
110 const sc_bind_proxy &p008 = SC_BIND_PROXY_NIL,
111 const sc_bind_proxy &p009 = SC_BIND_PROXY_NIL,
112 const sc_bind_proxy &p010 = SC_BIND_PROXY_NIL,
113 const sc_bind_proxy &p011 = SC_BIND_PROXY_NIL,
114 const sc_bind_proxy &p012 = SC_BIND_PROXY_NIL,
115 const sc_bind_proxy &p013 = SC_BIND_PROXY_NIL,
116 const sc_bind_proxy &p014 = SC_BIND_PROXY_NIL,
117 const sc_bind_proxy &p015 = SC_BIND_PROXY_NIL,
118 const sc_bind_proxy &p016 = SC_BIND_PROXY_NIL,
119 const sc_bind_proxy &p017 = SC_BIND_PROXY_NIL,
120 const sc_bind_proxy &p018 = SC_BIND_PROXY_NIL,
121 const sc_bind_proxy &p019 = SC_BIND_PROXY_NIL,
122 const sc_bind_proxy &p020 = SC_BIND_PROXY_NIL,
123 const sc_bind_proxy &p021 = SC_BIND_PROXY_NIL,
124 const sc_bind_proxy &p022 = SC_BIND_PROXY_NIL,
125 const sc_bind_proxy &p023 = SC_BIND_PROXY_NIL,
126 const sc_bind_proxy &p024 = SC_BIND_PROXY_NIL,
127 const sc_bind_proxy &p025 = SC_BIND_PROXY_NIL,
128 const sc_bind_proxy &p026 = SC_BIND_PROXY_NIL,
129 const sc_bind_proxy &p027 = SC_BIND_PROXY_NIL,
130 const sc_bind_proxy &p028 = SC_BIND_PROXY_NIL,
131 const sc_bind_proxy &p029 = SC_BIND_PROXY_NIL,
132 const sc_bind_proxy &p030 = SC_BIND_PROXY_NIL,
133 const sc_bind_proxy &p031 = SC_BIND_PROXY_NIL,
134 const sc_bind_proxy &p032 = SC_BIND_PROXY_NIL,
135 const sc_bind_proxy &p033 = SC_BIND_PROXY_NIL,
136 const sc_bind_proxy &p034 = SC_BIND_PROXY_NIL,
137 const sc_bind_proxy &p035 = SC_BIND_PROXY_NIL,
138 const sc_bind_proxy &p036 = SC_BIND_PROXY_NIL,
139 const sc_bind_proxy &p037 = SC_BIND_PROXY_NIL,
140 const sc_bind_proxy &p038 = SC_BIND_PROXY_NIL,
141 const sc_bind_proxy &p039 = SC_BIND_PROXY_NIL,
142 const sc_bind_proxy &p040 = SC_BIND_PROXY_NIL,
143 const sc_bind_proxy &p041 = SC_BIND_PROXY_NIL,
144 const sc_bind_proxy &p042 = SC_BIND_PROXY_NIL,
145 const sc_bind_proxy &p043 = SC_BIND_PROXY_NIL,
146 const sc_bind_proxy &p044 = SC_BIND_PROXY_NIL,
147 const sc_bind_proxy &p045 = SC_BIND_PROXY_NIL,
148 const sc_bind_proxy &p046 = SC_BIND_PROXY_NIL,
149 const sc_bind_proxy &p047 = SC_BIND_PROXY_NIL,
150 const sc_bind_proxy &p048 = SC_BIND_PROXY_NIL,
151 const sc_bind_proxy &p049 = SC_BIND_PROXY_NIL,
152 const sc_bind_proxy &p050 = SC_BIND_PROXY_NIL,
153 const sc_bind_proxy &p051 = SC_BIND_PROXY_NIL,
154 const sc_bind_proxy &p052 = SC_BIND_PROXY_NIL,
155 const sc_bind_proxy &p053 = SC_BIND_PROXY_NIL,
156 const sc_bind_proxy &p054 = SC_BIND_PROXY_NIL,
157 const sc_bind_proxy &p055 = SC_BIND_PROXY_NIL,
158 const sc_bind_proxy &p056 = SC_BIND_PROXY_NIL,
159 const sc_bind_proxy &p057 = SC_BIND_PROXY_NIL,
160 const sc_bind_proxy &p058 = SC_BIND_PROXY_NIL,
161 const sc_bind_proxy &p059 = SC_BIND_PROXY_NIL,
162 const sc_bind_proxy &p060 = SC_BIND_PROXY_NIL,
163 const sc_bind_proxy &p061 = SC_BIND_PROXY_NIL,
164 const sc_bind_proxy &p062 = SC_BIND_PROXY_NIL,
165 const sc_bind_proxy &p063 = SC_BIND_PROXY_NIL,
166 const sc_bind_proxy &p064 = SC_BIND_PROXY_NIL);
167
168 virtual const std::vector<sc_object *> &get_child_objects() const;
169 virtual const std::vector<sc_event *> &get_child_events() const;
170
171 protected:
172 sc_module(const sc_module_name &);
173 sc_module();
174
175 // Deprecated
176 sc_module(const char *);
177 sc_module(const std::string &);
178
179 /* Deprecated, but used in the regression tests. */
180 void end_module() {}
181
182 void reset_signal_is(const sc_in<bool> &, bool);
183 void reset_signal_is(const sc_inout<bool> &, bool);
184 void reset_signal_is(const sc_out<bool> &, bool);
185 void reset_signal_is(const sc_signal_in_if<bool> &, bool);
186
187 void async_reset_signal_is(const sc_in<bool> &, bool);
188 void async_reset_signal_is(const sc_inout<bool> &, bool);
189 void async_reset_signal_is(const sc_out<bool> &, bool);
190 void async_reset_signal_is(const sc_signal_in_if<bool> &, bool);
191
192 sc_sensitive sensitive;
193
194 void dont_initialize();
195 void set_stack_size(size_t);
196
197 void next_trigger();
198 void next_trigger(const sc_event &);
199 void next_trigger(const sc_event_or_list &);
200 void next_trigger(const sc_event_and_list &);
201 void next_trigger(const sc_time &);
202 void next_trigger(double, sc_time_unit);
203 void next_trigger(const sc_time &, const sc_event &);
204 void next_trigger(double, sc_time_unit, const sc_event &);
205 void next_trigger(const sc_time &, const sc_event_or_list &);
206 void next_trigger(double, sc_time_unit, const sc_event_or_list &);
207 void next_trigger(const sc_time &, const sc_event_and_list &);
208 void next_trigger(double, sc_time_unit, const sc_event_and_list &);
209
210 // Nonstandard
211 bool timed_out();
212
213 void wait();
214 void wait(int);
215 void wait(const sc_event &);
216 void wait(const sc_event_or_list &);
217 void wait(const sc_event_and_list &);
218 void wait(const sc_time &);
219 void wait(double, sc_time_unit);
220 void wait(const sc_time &, const sc_event &);
221 void wait(double, sc_time_unit, const sc_event &);
222 void wait(const sc_time &, const sc_event_or_list &);
223 void wait(double, sc_time_unit, const sc_event_or_list &);
224 void wait(const sc_time &, const sc_event_and_list &);
225 void wait(double, sc_time_unit, const sc_event_and_list &);
226
227 // Nonstandard
228 void halt();
229 void at_posedge(const sc_signal_in_if<bool> &);
230 void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
231 void at_negedge(const sc_signal_in_if<bool> &);
232 void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
233
234 virtual void before_end_of_elaboration() {}
235 virtual void end_of_elaboration() {}
236 virtual void start_of_simulation() {}
237 virtual void end_of_simulation() {}
238
239 private:
240 sc_gem5::Module *_gem5_module;
241
242 // Disabled
243 sc_module(const sc_module &) : sc_object() {};
244 sc_module &operator = (const sc_module &) { return *this; }
245};
246
247void next_trigger();
248void next_trigger(const sc_event &);
249void next_trigger(const sc_event_or_list &);
250void next_trigger(const sc_event_and_list &);
251void next_trigger(const sc_time &);
252void next_trigger(double, sc_time_unit);
253void next_trigger(const sc_time &, const sc_event &);
254void next_trigger(double, sc_time_unit, const sc_event &);
255void next_trigger(const sc_time &, const sc_event_or_list &);
256void next_trigger(double, sc_time_unit, const sc_event_or_list &);
257void next_trigger(const sc_time &, const sc_event_and_list &);
258void next_trigger(double, sc_time_unit, const sc_event_and_list &);
259
260void wait();
261void wait(int);
262void wait(const sc_event &);
263void wait(const sc_event_or_list &);
264void wait(const sc_event_and_list &);
265void wait(const sc_time &);
266void wait(double, sc_time_unit);
267void wait(const sc_time &, const sc_event &);
268void wait(double, sc_time_unit, const sc_event &);
269void wait(const sc_time &, const sc_event_or_list &);
270void wait(double, sc_time_unit, const sc_event_or_list &);
271void wait(const sc_time &, const sc_event_and_list &);
272void wait(double, sc_time_unit, const sc_event_and_list &);
273
274// Nonstandard
275bool timed_out();
276
277#define SC_MODULE(name) struct name : ::sc_core::sc_module
278
279#define SC_CTOR(name) \
280 typedef name SC_CURRENT_USER_MODULE; \
281 name(::sc_core::sc_module_name)
282
283#define SC_HAS_PROCESS(name) typedef name SC_CURRENT_USER_MODULE
284
285#define SC_METHOD(name) \
286 { \
287 ::sc_gem5::Process *p = \
288 ::sc_gem5::newMethodProcess( \
289 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
290 SC_CURRENT_USER_MODULE>(this, \
291 &SC_CURRENT_USER_MODULE::name)); \
1/*
2 * Copyright 2018 Google, Inc.
3 *
4 * Redistribution and use in source and binary forms, with or without
5 * modification, are permitted provided that the following conditions are
6 * met: redistributions of source code must retain the above copyright
7 * notice, this list of conditions and the following disclaimer;
8 * redistributions in binary form must reproduce the above copyright
9 * notice, this list of conditions and the following disclaimer in the
10 * documentation and/or other materials provided with the distribution;
11 * neither the name of the copyright holders nor the names of its
12 * contributors may be used to endorse or promote products derived from
13 * this software without specific prior written permission.
14 *
15 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
16 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
17 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
18 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
19 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
20 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
21 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
22 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
23 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
24 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
25 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
26 *
27 * Authors: Gabe Black
28 */
29
30#ifndef __SYSTEMC_CORE_EXT_SC_MODULE_HH__
31#define __SYSTEMC_CORE_EXT_SC_MODULE_HH__
32
33#include <vector>
34
35#include "sc_object.hh"
36#include "sc_process_handle.hh"
37#include "sc_sensitive.hh"
38#include "sc_time.hh"
39
40namespace sc_dt
41{
42
43class sc_logic;
44
45} // namespace sc_dt
46
47namespace sc_gem5
48{
49
50class Kernel;
51class Module;
52class Process;
53struct ProcessFuncWrapper;
54
55Process *newMethodProcess(const char *name, ProcessFuncWrapper *func);
56Process *newThreadProcess(const char *name, ProcessFuncWrapper *func);
57Process *newCThreadProcess(const char *name, ProcessFuncWrapper *func);
58
59} // namespace sc_gem5
60
61namespace sc_core
62{
63
64template <class T>
65class sc_in;
66template <class T>
67class sc_out;
68template <class T>
69class sc_inout;
70template <class T>
71class sc_signal_in_if;
72
73class sc_event;
74class sc_event_and_list;
75class sc_event_or_list;
76class sc_module_name;
77
78class sc_bind_proxy
79{
80 private:
81 sc_interface *_interface;
82 sc_port_base *_port;
83
84 public:
85 sc_bind_proxy(sc_interface &_interface);
86 sc_bind_proxy(sc_port_base &_port);
87
88 sc_interface *interface() const { return _interface; }
89 sc_port_base *port() const { return _port; }
90};
91
92extern const sc_bind_proxy SC_BIND_PROXY_NIL;
93
94class sc_module : public sc_object
95{
96 public:
97 friend class ::sc_gem5::Kernel;
98
99 virtual ~sc_module();
100
101 virtual const char *kind() const { return "sc_module"; }
102
103 void operator () (const sc_bind_proxy &p001,
104 const sc_bind_proxy &p002 = SC_BIND_PROXY_NIL,
105 const sc_bind_proxy &p003 = SC_BIND_PROXY_NIL,
106 const sc_bind_proxy &p004 = SC_BIND_PROXY_NIL,
107 const sc_bind_proxy &p005 = SC_BIND_PROXY_NIL,
108 const sc_bind_proxy &p006 = SC_BIND_PROXY_NIL,
109 const sc_bind_proxy &p007 = SC_BIND_PROXY_NIL,
110 const sc_bind_proxy &p008 = SC_BIND_PROXY_NIL,
111 const sc_bind_proxy &p009 = SC_BIND_PROXY_NIL,
112 const sc_bind_proxy &p010 = SC_BIND_PROXY_NIL,
113 const sc_bind_proxy &p011 = SC_BIND_PROXY_NIL,
114 const sc_bind_proxy &p012 = SC_BIND_PROXY_NIL,
115 const sc_bind_proxy &p013 = SC_BIND_PROXY_NIL,
116 const sc_bind_proxy &p014 = SC_BIND_PROXY_NIL,
117 const sc_bind_proxy &p015 = SC_BIND_PROXY_NIL,
118 const sc_bind_proxy &p016 = SC_BIND_PROXY_NIL,
119 const sc_bind_proxy &p017 = SC_BIND_PROXY_NIL,
120 const sc_bind_proxy &p018 = SC_BIND_PROXY_NIL,
121 const sc_bind_proxy &p019 = SC_BIND_PROXY_NIL,
122 const sc_bind_proxy &p020 = SC_BIND_PROXY_NIL,
123 const sc_bind_proxy &p021 = SC_BIND_PROXY_NIL,
124 const sc_bind_proxy &p022 = SC_BIND_PROXY_NIL,
125 const sc_bind_proxy &p023 = SC_BIND_PROXY_NIL,
126 const sc_bind_proxy &p024 = SC_BIND_PROXY_NIL,
127 const sc_bind_proxy &p025 = SC_BIND_PROXY_NIL,
128 const sc_bind_proxy &p026 = SC_BIND_PROXY_NIL,
129 const sc_bind_proxy &p027 = SC_BIND_PROXY_NIL,
130 const sc_bind_proxy &p028 = SC_BIND_PROXY_NIL,
131 const sc_bind_proxy &p029 = SC_BIND_PROXY_NIL,
132 const sc_bind_proxy &p030 = SC_BIND_PROXY_NIL,
133 const sc_bind_proxy &p031 = SC_BIND_PROXY_NIL,
134 const sc_bind_proxy &p032 = SC_BIND_PROXY_NIL,
135 const sc_bind_proxy &p033 = SC_BIND_PROXY_NIL,
136 const sc_bind_proxy &p034 = SC_BIND_PROXY_NIL,
137 const sc_bind_proxy &p035 = SC_BIND_PROXY_NIL,
138 const sc_bind_proxy &p036 = SC_BIND_PROXY_NIL,
139 const sc_bind_proxy &p037 = SC_BIND_PROXY_NIL,
140 const sc_bind_proxy &p038 = SC_BIND_PROXY_NIL,
141 const sc_bind_proxy &p039 = SC_BIND_PROXY_NIL,
142 const sc_bind_proxy &p040 = SC_BIND_PROXY_NIL,
143 const sc_bind_proxy &p041 = SC_BIND_PROXY_NIL,
144 const sc_bind_proxy &p042 = SC_BIND_PROXY_NIL,
145 const sc_bind_proxy &p043 = SC_BIND_PROXY_NIL,
146 const sc_bind_proxy &p044 = SC_BIND_PROXY_NIL,
147 const sc_bind_proxy &p045 = SC_BIND_PROXY_NIL,
148 const sc_bind_proxy &p046 = SC_BIND_PROXY_NIL,
149 const sc_bind_proxy &p047 = SC_BIND_PROXY_NIL,
150 const sc_bind_proxy &p048 = SC_BIND_PROXY_NIL,
151 const sc_bind_proxy &p049 = SC_BIND_PROXY_NIL,
152 const sc_bind_proxy &p050 = SC_BIND_PROXY_NIL,
153 const sc_bind_proxy &p051 = SC_BIND_PROXY_NIL,
154 const sc_bind_proxy &p052 = SC_BIND_PROXY_NIL,
155 const sc_bind_proxy &p053 = SC_BIND_PROXY_NIL,
156 const sc_bind_proxy &p054 = SC_BIND_PROXY_NIL,
157 const sc_bind_proxy &p055 = SC_BIND_PROXY_NIL,
158 const sc_bind_proxy &p056 = SC_BIND_PROXY_NIL,
159 const sc_bind_proxy &p057 = SC_BIND_PROXY_NIL,
160 const sc_bind_proxy &p058 = SC_BIND_PROXY_NIL,
161 const sc_bind_proxy &p059 = SC_BIND_PROXY_NIL,
162 const sc_bind_proxy &p060 = SC_BIND_PROXY_NIL,
163 const sc_bind_proxy &p061 = SC_BIND_PROXY_NIL,
164 const sc_bind_proxy &p062 = SC_BIND_PROXY_NIL,
165 const sc_bind_proxy &p063 = SC_BIND_PROXY_NIL,
166 const sc_bind_proxy &p064 = SC_BIND_PROXY_NIL);
167
168 virtual const std::vector<sc_object *> &get_child_objects() const;
169 virtual const std::vector<sc_event *> &get_child_events() const;
170
171 protected:
172 sc_module(const sc_module_name &);
173 sc_module();
174
175 // Deprecated
176 sc_module(const char *);
177 sc_module(const std::string &);
178
179 /* Deprecated, but used in the regression tests. */
180 void end_module() {}
181
182 void reset_signal_is(const sc_in<bool> &, bool);
183 void reset_signal_is(const sc_inout<bool> &, bool);
184 void reset_signal_is(const sc_out<bool> &, bool);
185 void reset_signal_is(const sc_signal_in_if<bool> &, bool);
186
187 void async_reset_signal_is(const sc_in<bool> &, bool);
188 void async_reset_signal_is(const sc_inout<bool> &, bool);
189 void async_reset_signal_is(const sc_out<bool> &, bool);
190 void async_reset_signal_is(const sc_signal_in_if<bool> &, bool);
191
192 sc_sensitive sensitive;
193
194 void dont_initialize();
195 void set_stack_size(size_t);
196
197 void next_trigger();
198 void next_trigger(const sc_event &);
199 void next_trigger(const sc_event_or_list &);
200 void next_trigger(const sc_event_and_list &);
201 void next_trigger(const sc_time &);
202 void next_trigger(double, sc_time_unit);
203 void next_trigger(const sc_time &, const sc_event &);
204 void next_trigger(double, sc_time_unit, const sc_event &);
205 void next_trigger(const sc_time &, const sc_event_or_list &);
206 void next_trigger(double, sc_time_unit, const sc_event_or_list &);
207 void next_trigger(const sc_time &, const sc_event_and_list &);
208 void next_trigger(double, sc_time_unit, const sc_event_and_list &);
209
210 // Nonstandard
211 bool timed_out();
212
213 void wait();
214 void wait(int);
215 void wait(const sc_event &);
216 void wait(const sc_event_or_list &);
217 void wait(const sc_event_and_list &);
218 void wait(const sc_time &);
219 void wait(double, sc_time_unit);
220 void wait(const sc_time &, const sc_event &);
221 void wait(double, sc_time_unit, const sc_event &);
222 void wait(const sc_time &, const sc_event_or_list &);
223 void wait(double, sc_time_unit, const sc_event_or_list &);
224 void wait(const sc_time &, const sc_event_and_list &);
225 void wait(double, sc_time_unit, const sc_event_and_list &);
226
227 // Nonstandard
228 void halt();
229 void at_posedge(const sc_signal_in_if<bool> &);
230 void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
231 void at_negedge(const sc_signal_in_if<bool> &);
232 void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
233
234 virtual void before_end_of_elaboration() {}
235 virtual void end_of_elaboration() {}
236 virtual void start_of_simulation() {}
237 virtual void end_of_simulation() {}
238
239 private:
240 sc_gem5::Module *_gem5_module;
241
242 // Disabled
243 sc_module(const sc_module &) : sc_object() {};
244 sc_module &operator = (const sc_module &) { return *this; }
245};
246
247void next_trigger();
248void next_trigger(const sc_event &);
249void next_trigger(const sc_event_or_list &);
250void next_trigger(const sc_event_and_list &);
251void next_trigger(const sc_time &);
252void next_trigger(double, sc_time_unit);
253void next_trigger(const sc_time &, const sc_event &);
254void next_trigger(double, sc_time_unit, const sc_event &);
255void next_trigger(const sc_time &, const sc_event_or_list &);
256void next_trigger(double, sc_time_unit, const sc_event_or_list &);
257void next_trigger(const sc_time &, const sc_event_and_list &);
258void next_trigger(double, sc_time_unit, const sc_event_and_list &);
259
260void wait();
261void wait(int);
262void wait(const sc_event &);
263void wait(const sc_event_or_list &);
264void wait(const sc_event_and_list &);
265void wait(const sc_time &);
266void wait(double, sc_time_unit);
267void wait(const sc_time &, const sc_event &);
268void wait(double, sc_time_unit, const sc_event &);
269void wait(const sc_time &, const sc_event_or_list &);
270void wait(double, sc_time_unit, const sc_event_or_list &);
271void wait(const sc_time &, const sc_event_and_list &);
272void wait(double, sc_time_unit, const sc_event_and_list &);
273
274// Nonstandard
275bool timed_out();
276
277#define SC_MODULE(name) struct name : ::sc_core::sc_module
278
279#define SC_CTOR(name) \
280 typedef name SC_CURRENT_USER_MODULE; \
281 name(::sc_core::sc_module_name)
282
283#define SC_HAS_PROCESS(name) typedef name SC_CURRENT_USER_MODULE
284
285#define SC_METHOD(name) \
286 { \
287 ::sc_gem5::Process *p = \
288 ::sc_gem5::newMethodProcess( \
289 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
290 SC_CURRENT_USER_MODULE>(this, \
291 &SC_CURRENT_USER_MODULE::name)); \
292 this->sensitive << p; \
292 if (p) \
293 this->sensitive << p; \
293 }
294#define SC_THREAD(name) \
295 { \
296 ::sc_gem5::Process *p = \
297 ::sc_gem5::newThreadProcess( \
298 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
299 SC_CURRENT_USER_MODULE>(this, \
300 &SC_CURRENT_USER_MODULE::name)); \
294 }
295#define SC_THREAD(name) \
296 { \
297 ::sc_gem5::Process *p = \
298 ::sc_gem5::newThreadProcess( \
299 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
300 SC_CURRENT_USER_MODULE>(this, \
301 &SC_CURRENT_USER_MODULE::name)); \
301 this->sensitive << p; \
302 if (p) \
303 this->sensitive << p; \
302 }
303#define SC_CTHREAD(name, clk) \
304 { \
305 ::sc_gem5::Process *p = \
306 ::sc_gem5::newCThreadProcess( \
307 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
308 SC_CURRENT_USER_MODULE>(this, \
309 &SC_CURRENT_USER_MODULE::name)); \
304 }
305#define SC_CTHREAD(name, clk) \
306 { \
307 ::sc_gem5::Process *p = \
308 ::sc_gem5::newCThreadProcess( \
309 #name, new ::sc_gem5::ProcessMemberFuncWrapper< \
310 SC_CURRENT_USER_MODULE>(this, \
311 &SC_CURRENT_USER_MODULE::name)); \
310 this->sensitive << p; \
311 this->sensitive << clk; \
312 if (p) { \
313 this->sensitive << p; \
314 this->sensitive << clk; \
315 } \
312 }
313
314// Nonstandard
315// Documentation for this is very scarce, but it looks like it's supposed to
316// stop the currently executing cthread, or if a cthread isn't running report
317// an error.
318void halt();
319void at_posedge(const sc_signal_in_if<bool> &);
320void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
321void at_negedge(const sc_signal_in_if<bool> &);
322void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
323
324const char *sc_gen_unique_name(const char *);
325
326// Nonstandard
327bool sc_hierarchical_name_exists(const char *name);
328
329typedef sc_module sc_behavior;
330typedef sc_module sc_channel;
331
332bool sc_start_of_simulation_invoked();
333bool sc_end_of_simulation_invoked();
334
335// Nonstandard
336// Allocates a module of type x and records a pointer to it so that it gets
337// destructed automatically at the end of the simulation.
338sc_module *sc_module_sc_new(sc_module *);
339#define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);
340
341// Nonstandard
342#define SC_WAIT() \
343 ::sc_core::sc_set_location(__FILE__, __LINE__); \
344 ::sc_core::wait(); \
345 ::sc_core::sc_set_location(NULL, 0)
346
347// Nonstandard
348#define SC_WAITN(n) \
349 ::sc_core::sc_set_location(__FILE__, __LINE__); \
350 ::sc_core::wait(n); \
351 ::sc_core::sc_set_location(NULL, 0)
352
353// Nonstandard
354#define SC_WAIT_UNTIL(expr) \
355 do { SC_WAIT(); } while (!(expr))
356
357} // namespace sc_core
358
359#endif //__SYSTEMC_EXT_CORE_SC_MODULE_HH__
316 }
317
318// Nonstandard
319// Documentation for this is very scarce, but it looks like it's supposed to
320// stop the currently executing cthread, or if a cthread isn't running report
321// an error.
322void halt();
323void at_posedge(const sc_signal_in_if<bool> &);
324void at_posedge(const sc_signal_in_if<sc_dt::sc_logic> &);
325void at_negedge(const sc_signal_in_if<bool> &);
326void at_negedge(const sc_signal_in_if<sc_dt::sc_logic> &);
327
328const char *sc_gen_unique_name(const char *);
329
330// Nonstandard
331bool sc_hierarchical_name_exists(const char *name);
332
333typedef sc_module sc_behavior;
334typedef sc_module sc_channel;
335
336bool sc_start_of_simulation_invoked();
337bool sc_end_of_simulation_invoked();
338
339// Nonstandard
340// Allocates a module of type x and records a pointer to it so that it gets
341// destructed automatically at the end of the simulation.
342sc_module *sc_module_sc_new(sc_module *);
343#define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);
344
345// Nonstandard
346#define SC_WAIT() \
347 ::sc_core::sc_set_location(__FILE__, __LINE__); \
348 ::sc_core::wait(); \
349 ::sc_core::sc_set_location(NULL, 0)
350
351// Nonstandard
352#define SC_WAITN(n) \
353 ::sc_core::sc_set_location(__FILE__, __LINE__); \
354 ::sc_core::wait(n); \
355 ::sc_core::sc_set_location(NULL, 0)
356
357// Nonstandard
358#define SC_WAIT_UNTIL(expr) \
359 do { SC_WAIT(); } while (!(expr))
360
361} // namespace sc_core
362
363#endif //__SYSTEMC_EXT_CORE_SC_MODULE_HH__