62a63,82
> // Deprecated binding constructors.
> explicit sc_inout(const sc_signal_inout_if<T> &interface) :
> sc_port<sc_signal_inout_if<T>, 1>(interface)
> {}
> sc_inout(const char *name, const sc_signal_inout_if<T> &interface) :
> sc_port<sc_signal_inout_if<T>, 1>(name, interface)
> {}
> explicit sc_inout(sc_port_b<sc_signal_inout_if<T> > &parent) :
> sc_port<sc_signal_inout_if<T>, 1>(parent)
> {}
> sc_inout(const char *name, sc_port_b<sc_signal_inout_if<T> > &parent) :
> sc_port<sc_signal_inout_if<T>, 1>(name, parent)
> {}
> explicit sc_inout(sc_port<sc_signal_inout_if<T>, 1> &parent) :
> sc_port<sc_signal_inout_if<T>, 1>(parent)
> {}
> sc_inout(const char *name, sc_port<sc_signal_inout_if<T>, 1> &parent) :
> sc_port<sc_signal_inout_if<T>, 1>(name, parent)
> {}
>
172a193,212
> // Deprecated binding constructors.
> explicit sc_inout(const sc_signal_inout_if<bool> &interface) :
> sc_port<sc_signal_inout_if<bool>, 1>(interface)
> {}
> sc_inout(const char *name, const sc_signal_inout_if<bool> &interface) :
> sc_port<sc_signal_inout_if<bool>, 1>(name, interface)
> {}
> explicit sc_inout(sc_port_b<sc_signal_inout_if<bool> > &parent) :
> sc_port<sc_signal_inout_if<bool>, 1>(parent)
> {}
> sc_inout(const char *name, sc_port_b<sc_signal_inout_if<bool> > &parent) :
> sc_port<sc_signal_inout_if<bool>, 1>(name, parent)
> {}
> explicit sc_inout(sc_port<sc_signal_inout_if<bool>, 1> &parent) :
> sc_port<sc_signal_inout_if<bool>, 1>(parent)
> {}
> sc_inout(const char *name, sc_port<sc_signal_inout_if<bool>, 1> &parent) :
> sc_port<sc_signal_inout_if<bool>, 1>(name, parent)
> {}
>
322a363,387
> // Deprecated binding constructors.
> explicit sc_inout(const sc_signal_inout_if<sc_dt::sc_logic> &interface) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(interface)
> {}
> sc_inout(const char *name,
> const sc_signal_inout_if<sc_dt::sc_logic> &interface) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(name, interface)
> {}
> explicit sc_inout(
> sc_port_b<sc_signal_inout_if<sc_dt::sc_logic> > &parent) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(parent)
> {}
> sc_inout(const char *name,
> sc_port_b<sc_signal_inout_if<sc_dt::sc_logic> > &parent) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(name, parent)
> {}
> explicit sc_inout(
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1> &parent) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(parent)
> {}
> sc_inout(const char *name,
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1> &parent) :
> sc_port<sc_signal_inout_if<sc_dt::sc_logic>, 1>(name, parent)
> {}
>