32a33,34
> #include <sstream>
>
33a36
> #include "sc_signal_rv.hh"
54c57,68
< virtual void end_of_elaboration() {}
---
> virtual void
> end_of_elaboration()
> {
> sc_in<sc_dt::sc_lv<W> >::end_of_elaboration();
> if (!dynamic_cast<sc_signal_rv<W> *>(this->get_interface())) {
> std::ostringstream ss;
> ss << "port '" << this->name() << "' (" << this->kind() << ")";
> SC_REPORT_ERROR(
> "(E117) resolved port not bound to resolved signal",
> ss.str().c_str());
> }
> }