54a55,74
> // Deprecated binding constructors.
> explicit sc_in(const sc_signal_in_if<T> &interface) :
> sc_port<sc_signal_in_if<T>, 1>(interface)
> {}
> sc_in(const char *name, const sc_signal_in_if<T> &interface) :
> sc_port<sc_signal_in_if<T>, 1>(name, interface)
> {}
> explicit sc_in(sc_port_b<sc_signal_in_if<T> > &parent) :
> sc_port<sc_signal_in_if<T>, 1>(parent)
> {}
> sc_in(const char *name, sc_port_b<sc_signal_in_if<T> > &parent) :
> sc_port<sc_signal_in_if<T>, 1>(name, parent)
> {}
> explicit sc_in(sc_port<sc_signal_in_if<T>, 1> &parent) :
> sc_port<sc_signal_in_if<T>, 1>(parent)
> {}
> sc_in(const char *name, sc_port<sc_signal_in_if<T>, 1> &parent) :
> sc_port<sc_signal_in_if<T>, 1>(name, parent)
> {}
>
154a175,194
> // Deprecated binding constructors.
> explicit sc_in(const sc_signal_in_if<bool> &interface) :
> sc_port<sc_signal_in_if<bool>, 1>(interface)
> {}
> sc_in(const char *name, const sc_signal_in_if<bool> &interface) :
> sc_port<sc_signal_in_if<bool>, 1>(name, interface)
> {}
> explicit sc_in(sc_port_b<sc_signal_in_if<bool> > &parent) :
> sc_port<sc_signal_in_if<bool>, 1>(parent)
> {}
> sc_in(const char *name, sc_port_b<sc_signal_in_if<bool> > &parent) :
> sc_port<sc_signal_in_if<bool>, 1>(name, parent)
> {}
> explicit sc_in(sc_port<sc_signal_in_if<bool>, 1> &parent) :
> sc_port<sc_signal_in_if<bool>, 1>(parent)
> {}
> sc_in(const char *name, sc_port<sc_signal_in_if<bool>, 1> &parent) :
> sc_port<sc_signal_in_if<bool>, 1>(name, parent)
> {}
>
294a335,357
> // Deprecated binding constructors.
> explicit sc_in(const sc_signal_in_if<sc_dt::sc_logic> &interface) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(interface)
> {}
> sc_in(const char *name,
> const sc_signal_in_if<sc_dt::sc_logic> &interface) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(name, interface)
> {}
> explicit sc_in(sc_port_b<sc_signal_in_if<sc_dt::sc_logic> > &parent) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(parent)
> {}
> sc_in(const char *name,
> sc_port_b<sc_signal_in_if<sc_dt::sc_logic> > &parent) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(name, parent)
> {}
> explicit sc_in(sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1> &parent) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(parent)
> {}
> sc_in(const char *name,
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1> &parent) :
> sc_port<sc_signal_in_if<sc_dt::sc_logic>, 1>(name, parent)
> {}
>