Deleted Added
sdiff udiff text old ( 9444:ab47fe7f03f0 ) new ( 10031:79d034cd6ba3 )
full compact
1/*
2 * Copyright (c) 2012 ARM Limited
3 * All rights reserved
4 *
5 * The license below extends only to copyright in the software and shall
6 * not be construed as granting a license to any other intellectual
7 * property including but not limited to intellectual property relating
8 * to a hardware implementation of the functionality of the software
9 * licensed hereunder. You may use the software subject to the license
10 * terms below provided that you ensure that this notice is replicated
11 * unmodified and in its entirety in all distributions of the software,
12 * modified or unmodified, in source code or in binary form.
13 *
14 * Copyright (c) 2004-2006 The Regents of The University of Michigan
15 * All rights reserved.
16 *
17 * Redistribution and use in source and binary forms, with or without
18 * modification, are permitted provided that the following conditions are
19 * met: redistributions of source code must retain the above copyright
20 * notice, this list of conditions and the following disclaimer;
21 * redistributions in binary form must reproduce the above copyright
22 * notice, this list of conditions and the following disclaimer in the
23 * documentation and/or other materials provided with the distribution;
24 * neither the name of the copyright holders nor the names of its
25 * contributors may be used to endorse or promote products derived from
26 * this software without specific prior written permission.
27 *
28 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
29 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
30 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
31 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
32 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
34 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
35 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
36 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
37 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
38 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39 *
40 * Authors: Kevin Lim
41 * Korey Sewell
42 */
43
44#ifndef __CPU_O3_LSQ_UNIT_HH__
45#define __CPU_O3_LSQ_UNIT_HH__
46
47#include <algorithm>
48#include <cstring>
49#include <map>
50#include <queue>
51
52#include "arch/generic/debugfaults.hh"
53#include "arch/isa_traits.hh"
54#include "arch/locked_mem.hh"
55#include "arch/mmapped_ipr.hh"
56#include "base/hashmap.hh"
57#include "config/the_isa.hh"
58#include "cpu/inst_seq.hh"
59#include "cpu/timebuf.hh"
60#include "debug/LSQUnit.hh"
61#include "mem/packet.hh"
62#include "mem/port.hh"
63#include "sim/fault_fwd.hh"
64
65struct DerivO3CPUParams;
66
67/**
68 * Class that implements the actual LQ and SQ for each specific
69 * thread. Both are circular queues; load entries are freed upon
70 * committing, while store entries are freed once they writeback. The
71 * LSQUnit tracks if there are memory ordering violations, and also
72 * detects partial load to store forwarding cases (a store only has
73 * part of a load's data) that requires the load to wait until the
74 * store writes back. In the former case it holds onto the instruction
75 * until the dependence unit looks at it, and in the latter it stalls
76 * the LSQ until the store writes back. At that point the load is
77 * replayed.
78 */
79template <class Impl>
80class LSQUnit {
81 public:
82 typedef typename Impl::O3CPU O3CPU;
83 typedef typename Impl::DynInstPtr DynInstPtr;
84 typedef typename Impl::CPUPol::IEW IEW;
85 typedef typename Impl::CPUPol::LSQ LSQ;
86 typedef typename Impl::CPUPol::IssueStruct IssueStruct;
87
88 public:
89 /** Constructs an LSQ unit. init() must be called prior to use. */
90 LSQUnit();
91
92 /** Initializes the LSQ unit with the specified number of entries. */
93 void init(O3CPU *cpu_ptr, IEW *iew_ptr, DerivO3CPUParams *params,
94 LSQ *lsq_ptr, unsigned maxLQEntries, unsigned maxSQEntries,
95 unsigned id);
96
97 /** Returns the name of the LSQ unit. */
98 std::string name() const;
99
100 /** Registers statistics. */
101 void regStats();
102
103 /** Sets the pointer to the dcache port. */
104 void setDcachePort(MasterPort *dcache_port);
105
106 /** Perform sanity checks after a drain. */
107 void drainSanityCheck() const;
108
109 /** Takes over from another CPU's thread. */
110 void takeOverFrom();
111
112 /** Ticks the LSQ unit, which in this case only resets the number of
113 * used cache ports.
114 * @todo: Move the number of used ports up to the LSQ level so it can
115 * be shared by all LSQ units.
116 */
117 void tick() { usedPorts = 0; }
118
119 /** Inserts an instruction. */
120 void insert(DynInstPtr &inst);
121 /** Inserts a load instruction. */
122 void insertLoad(DynInstPtr &load_inst);
123 /** Inserts a store instruction. */
124 void insertStore(DynInstPtr &store_inst);
125
126 /** Check for ordering violations in the LSQ. For a store squash if we
127 * ever find a conflicting load. For a load, only squash if we
128 * an external snoop invalidate has been seen for that load address
129 * @param load_idx index to start checking at
130 * @param inst the instruction to check
131 */
132 Fault checkViolations(int load_idx, DynInstPtr &inst);
133
134 /** Check if an incoming invalidate hits in the lsq on a load
135 * that might have issued out of order wrt another load beacuse
136 * of the intermediate invalidate.
137 */
138 void checkSnoop(PacketPtr pkt);
139
140 /** Executes a load instruction. */
141 Fault executeLoad(DynInstPtr &inst);
142
143 Fault executeLoad(int lq_idx) { panic("Not implemented"); return NoFault; }
144 /** Executes a store instruction. */
145 Fault executeStore(DynInstPtr &inst);
146
147 /** Commits the head load. */
148 void commitLoad();
149 /** Commits loads older than a specific sequence number. */
150 void commitLoads(InstSeqNum &youngest_inst);
151
152 /** Commits stores older than a specific sequence number. */
153 void commitStores(InstSeqNum &youngest_inst);
154
155 /** Writes back stores. */
156 void writebackStores();
157
158 /** Completes the data access that has been returned from the
159 * memory system. */
160 void completeDataAccess(PacketPtr pkt);
161
162 /** Clears all the entries in the LQ. */
163 void clearLQ();
164
165 /** Clears all the entries in the SQ. */
166 void clearSQ();
167
168 /** Resizes the LQ to a given size. */
169 void resizeLQ(unsigned size);
170
171 /** Resizes the SQ to a given size. */
172 void resizeSQ(unsigned size);
173
174 /** Squashes all instructions younger than a specific sequence number. */
175 void squash(const InstSeqNum &squashed_num);
176
177 /** Returns if there is a memory ordering violation. Value is reset upon
178 * call to getMemDepViolator().
179 */
180 bool violation() { return memDepViolator; }
181
182 /** Returns the memory ordering violator. */
183 DynInstPtr getMemDepViolator();
184
185 /** Returns if a load became blocked due to the memory system. */
186 bool loadBlocked()
187 { return isLoadBlocked; }
188
189 /** Clears the signal that a load became blocked. */
190 void clearLoadBlocked()
191 { isLoadBlocked = false; }
192
193 /** Returns if the blocked load was handled. */
194 bool isLoadBlockedHandled()
195 { return loadBlockedHandled; }
196
197 /** Records the blocked load as being handled. */
198 void setLoadBlockedHandled()
199 { loadBlockedHandled = true; }
200
201 /** Returns the number of free entries (min of free LQ and SQ entries). */
202 unsigned numFreeEntries();
203
204 /** Returns the number of loads in the LQ. */
205 int numLoads() { return loads; }
206
207 /** Returns the number of stores in the SQ. */
208 int numStores() { return stores; }
209
210 /** Returns if either the LQ or SQ is full. */
211 bool isFull() { return lqFull() || sqFull(); }
212
213 /** Returns if both the LQ and SQ are empty. */
214 bool isEmpty() const { return lqEmpty() && sqEmpty(); }
215
216 /** Returns if the LQ is full. */
217 bool lqFull() { return loads >= (LQEntries - 1); }
218
219 /** Returns if the SQ is full. */
220 bool sqFull() { return stores >= (SQEntries - 1); }
221
222 /** Returns if the LQ is empty. */
223 bool lqEmpty() const { return loads == 0; }
224
225 /** Returns if the SQ is empty. */
226 bool sqEmpty() const { return stores == 0; }
227
228 /** Returns the number of instructions in the LSQ. */
229 unsigned getCount() { return loads + stores; }
230
231 /** Returns if there are any stores to writeback. */
232 bool hasStoresToWB() { return storesToWB; }
233
234 /** Returns the number of stores to writeback. */
235 int numStoresToWB() { return storesToWB; }
236
237 /** Returns if the LSQ unit will writeback on this cycle. */
238 bool willWB() { return storeQueue[storeWBIdx].canWB &&
239 !storeQueue[storeWBIdx].completed &&
240 !isStoreBlocked; }
241
242 /** Handles doing the retry. */
243 void recvRetry();
244
245 private:
246 /** Reset the LSQ state */
247 void resetState();
248
249 /** Writes back the instruction, sending it to IEW. */
250 void writeback(DynInstPtr &inst, PacketPtr pkt);
251
252 /** Writes back a store that couldn't be completed the previous cycle. */
253 void writebackPendingStore();
254
255 /** Handles completing the send of a store to memory. */
256 void storePostSend(PacketPtr pkt);
257
258 /** Completes the store at the specified index. */
259 void completeStore(int store_idx);
260
261 /** Attempts to send a store to the cache. */
262 bool sendStore(PacketPtr data_pkt);
263
264 /** Increments the given store index (circular queue). */
265 inline void incrStIdx(int &store_idx) const;
266 /** Decrements the given store index (circular queue). */
267 inline void decrStIdx(int &store_idx) const;
268 /** Increments the given load index (circular queue). */
269 inline void incrLdIdx(int &load_idx) const;
270 /** Decrements the given load index (circular queue). */
271 inline void decrLdIdx(int &load_idx) const;
272
273 public:
274 /** Debugging function to dump instructions in the LSQ. */
275 void dumpInsts() const;
276
277 private:
278 /** Pointer to the CPU. */
279 O3CPU *cpu;
280
281 /** Pointer to the IEW stage. */
282 IEW *iewStage;
283
284 /** Pointer to the LSQ. */
285 LSQ *lsq;
286
287 /** Pointer to the dcache port. Used only for sending. */
288 MasterPort *dcachePort;
289
290 /** Derived class to hold any sender state the LSQ needs. */
291 class LSQSenderState : public Packet::SenderState
292 {
293 public:
294 /** Default constructor. */
295 LSQSenderState()
296 : mainPkt(NULL), pendingPacket(NULL), outstanding(1),
297 noWB(false), isSplit(false), pktToSend(false)
298 { }
299
300 /** Instruction who initiated the access to memory. */
301 DynInstPtr inst;
302 /** The main packet from a split load, used during writeback. */
303 PacketPtr mainPkt;
304 /** A second packet from a split store that needs sending. */
305 PacketPtr pendingPacket;
306 /** The LQ/SQ index of the instruction. */
307 uint8_t idx;
308 /** Number of outstanding packets to complete. */
309 uint8_t outstanding;
310 /** Whether or not it is a load. */
311 bool isLoad;
312 /** Whether or not the instruction will need to writeback. */
313 bool noWB;
314 /** Whether or not this access is split in two. */
315 bool isSplit;
316 /** Whether or not there is a packet that needs sending. */
317 bool pktToSend;
318
319 /** Completes a packet and returns whether the access is finished. */
320 inline bool complete() { return --outstanding == 0; }
321 };
322
323 /** Writeback event, specifically for when stores forward data to loads. */
324 class WritebackEvent : public Event {
325 public:
326 /** Constructs a writeback event. */
327 WritebackEvent(DynInstPtr &_inst, PacketPtr pkt, LSQUnit *lsq_ptr);
328
329 /** Processes the writeback event. */
330 void process();
331
332 /** Returns the description of this event. */
333 const char *description() const;
334
335 private:
336 /** Instruction whose results are being written back. */
337 DynInstPtr inst;
338
339 /** The packet that would have been sent to memory. */
340 PacketPtr pkt;
341
342 /** The pointer to the LSQ unit that issued the store. */
343 LSQUnit<Impl> *lsqPtr;
344 };
345
346 public:
347 struct SQEntry {
348 /** Constructs an empty store queue entry. */
349 SQEntry()
350 : inst(NULL), req(NULL), size(0),
351 canWB(0), committed(0), completed(0)
352 {
353 std::memset(data, 0, sizeof(data));
354 }
355
356 ~SQEntry()
357 {
358 inst = NULL;
359 }
360
361 /** Constructs a store queue entry for a given instruction. */
362 SQEntry(DynInstPtr &_inst)
363 : inst(_inst), req(NULL), sreqLow(NULL), sreqHigh(NULL), size(0),
364 isSplit(0), canWB(0), committed(0), completed(0)
365 {
366 std::memset(data, 0, sizeof(data));
367 }
368 /** The store data. */
369 char data[16];
370 /** The store instruction. */
371 DynInstPtr inst;
372 /** The request for the store. */
373 RequestPtr req;
374 /** The split requests for the store. */
375 RequestPtr sreqLow;
376 RequestPtr sreqHigh;
377 /** The size of the store. */
378 uint8_t size;
379 /** Whether or not the store is split into two requests. */
380 bool isSplit;
381 /** Whether or not the store can writeback. */
382 bool canWB;
383 /** Whether or not the store is committed. */
384 bool committed;
385 /** Whether or not the store is completed. */
386 bool completed;
387 };
388
389 private:
390 /** The LSQUnit thread id. */
391 ThreadID lsqID;
392
393 /** The store queue. */
394 std::vector<SQEntry> storeQueue;
395
396 /** The load queue. */
397 std::vector<DynInstPtr> loadQueue;
398
399 /** The number of LQ entries, plus a sentinel entry (circular queue).
400 * @todo: Consider having var that records the true number of LQ entries.
401 */
402 unsigned LQEntries;
403 /** The number of SQ entries, plus a sentinel entry (circular queue).
404 * @todo: Consider having var that records the true number of SQ entries.
405 */
406 unsigned SQEntries;
407
408 /** The number of places to shift addresses in the LSQ before checking
409 * for dependency violations
410 */
411 unsigned depCheckShift;
412
413 /** Should loads be checked for dependency issues */
414 bool checkLoads;
415
416 /** The number of load instructions in the LQ. */
417 int loads;
418 /** The number of store instructions in the SQ. */
419 int stores;
420 /** The number of store instructions in the SQ waiting to writeback. */
421 int storesToWB;
422
423 /** The index of the head instruction in the LQ. */
424 int loadHead;
425 /** The index of the tail instruction in the LQ. */
426 int loadTail;
427
428 /** The index of the head instruction in the SQ. */
429 int storeHead;
430 /** The index of the first instruction that may be ready to be
431 * written back, and has not yet been written back.
432 */
433 int storeWBIdx;
434 /** The index of the tail instruction in the SQ. */
435 int storeTail;
436
437 /// @todo Consider moving to a more advanced model with write vs read ports
438 /** The number of cache ports available each cycle. */
439 int cachePorts;
440
441 /** The number of used cache ports in this cycle. */
442 int usedPorts;
443
444 //list<InstSeqNum> mshrSeqNums;
445
446 /** Address Mask for a cache block (e.g. ~(cache_block_size-1)) */
447 Addr cacheBlockMask;
448
449 /** Wire to read information from the issue stage time queue. */
450 typename TimeBuffer<IssueStruct>::wire fromIssue;
451
452 /** Whether or not the LSQ is stalled. */
453 bool stalled;
454 /** The store that causes the stall due to partial store to load
455 * forwarding.
456 */
457 InstSeqNum stallingStoreIsn;
458 /** The index of the above store. */
459 int stallingLoadIdx;
460
461 /** The packet that needs to be retried. */
462 PacketPtr retryPkt;
463
464 /** Whehter or not a store is blocked due to the memory system. */
465 bool isStoreBlocked;
466
467 /** Whether or not a load is blocked due to the memory system. */
468 bool isLoadBlocked;
469
470 /** Has the blocked load been handled. */
471 bool loadBlockedHandled;
472
473 /** Whether or not a store is in flight. */
474 bool storeInFlight;
475
476 /** The sequence number of the blocked load. */
477 InstSeqNum blockedLoadSeqNum;
478
479 /** The oldest load that caused a memory ordering violation. */
480 DynInstPtr memDepViolator;
481
482 /** Whether or not there is a packet that couldn't be sent because of
483 * a lack of cache ports. */
484 bool hasPendingPkt;
485
486 /** The packet that is pending free cache ports. */
487 PacketPtr pendingPkt;
488
489 /** Flag for memory model. */
490 bool needsTSO;
491
492 // Will also need how many read/write ports the Dcache has. Or keep track
493 // of that in stage that is one level up, and only call executeLoad/Store
494 // the appropriate number of times.
495 /** Total number of loads forwaded from LSQ stores. */
496 Stats::Scalar lsqForwLoads;
497
498 /** Total number of loads ignored due to invalid addresses. */
499 Stats::Scalar invAddrLoads;
500
501 /** Total number of squashed loads. */
502 Stats::Scalar lsqSquashedLoads;
503
504 /** Total number of responses from the memory system that are
505 * ignored due to the instruction already being squashed. */
506 Stats::Scalar lsqIgnoredResponses;
507
508 /** Tota number of memory ordering violations. */
509 Stats::Scalar lsqMemOrderViolation;
510
511 /** Total number of squashed stores. */
512 Stats::Scalar lsqSquashedStores;
513
514 /** Total number of software prefetches ignored due to invalid addresses. */
515 Stats::Scalar invAddrSwpfs;
516
517 /** Ready loads blocked due to partial store-forwarding. */
518 Stats::Scalar lsqBlockedLoads;
519
520 /** Number of loads that were rescheduled. */
521 Stats::Scalar lsqRescheduledLoads;
522
523 /** Number of times the LSQ is blocked due to the cache. */
524 Stats::Scalar lsqCacheBlocked;
525
526 public:
527 /** Executes the load at the given index. */
528 Fault read(Request *req, Request *sreqLow, Request *sreqHigh,
529 uint8_t *data, int load_idx);
530
531 /** Executes the store at the given index. */
532 Fault write(Request *req, Request *sreqLow, Request *sreqHigh,
533 uint8_t *data, int store_idx);
534
535 /** Returns the index of the head load instruction. */
536 int getLoadHead() { return loadHead; }
537 /** Returns the sequence number of the head load instruction. */
538 InstSeqNum getLoadHeadSeqNum()
539 {
540 if (loadQueue[loadHead]) {
541 return loadQueue[loadHead]->seqNum;
542 } else {
543 return 0;
544 }
545
546 }
547
548 /** Returns the index of the head store instruction. */
549 int getStoreHead() { return storeHead; }
550 /** Returns the sequence number of the head store instruction. */
551 InstSeqNum getStoreHeadSeqNum()
552 {
553 if (storeQueue[storeHead].inst) {
554 return storeQueue[storeHead].inst->seqNum;
555 } else {
556 return 0;
557 }
558
559 }
560
561 /** Returns whether or not the LSQ unit is stalled. */
562 bool isStalled() { return stalled; }
563};
564
565template <class Impl>
566Fault
567LSQUnit<Impl>::read(Request *req, Request *sreqLow, Request *sreqHigh,
568 uint8_t *data, int load_idx)
569{
570 DynInstPtr load_inst = loadQueue[load_idx];
571
572 assert(load_inst);
573
574 assert(!load_inst->isExecuted());
575
576 // Make sure this isn't an uncacheable access
577 // A bit of a hackish way to get uncached accesses to work only if they're
578 // at the head of the LSQ and are ready to commit (at the head of the ROB
579 // too).
580 if (req->isUncacheable() &&
581 (load_idx != loadHead || !load_inst->isAtCommit())) {
582 iewStage->rescheduleMemInst(load_inst);
583 ++lsqRescheduledLoads;
584 DPRINTF(LSQUnit, "Uncachable load [sn:%lli] PC %s\n",
585 load_inst->seqNum, load_inst->pcState());
586
587 // Must delete request now that it wasn't handed off to
588 // memory. This is quite ugly. @todo: Figure out the proper
589 // place to really handle request deletes.
590 delete req;
591 if (TheISA::HasUnalignedMemAcc && sreqLow) {
592 delete sreqLow;
593 delete sreqHigh;
594 }
595 return new GenericISA::M5PanicFault(
596 "Uncachable load [sn:%llx] PC %s\n",
597 load_inst->seqNum, load_inst->pcState());
598 }
599
600 // Check the SQ for any previous stores that might lead to forwarding
601 int store_idx = load_inst->sqIdx;
602
603 int store_size = 0;
604
605 DPRINTF(LSQUnit, "Read called, load idx: %i, store idx: %i, "
606 "storeHead: %i addr: %#x%s\n",
607 load_idx, store_idx, storeHead, req->getPaddr(),
608 sreqLow ? " split" : "");
609
610 if (req->isLLSC()) {
611 assert(!sreqLow);
612 // Disable recording the result temporarily. Writing to misc
613 // regs normally updates the result, but this is not the
614 // desired behavior when handling store conditionals.
615 load_inst->recordResult(false);
616 TheISA::handleLockedRead(load_inst.get(), req);
617 load_inst->recordResult(true);
618 }
619
620 if (req->isMmappedIpr()) {
621 assert(!load_inst->memData);
622 load_inst->memData = new uint8_t[64];
623
624 ThreadContext *thread = cpu->tcBase(lsqID);
625 Cycles delay(0);
626 PacketPtr data_pkt = new Packet(req, MemCmd::ReadReq);
627
628 if (!TheISA::HasUnalignedMemAcc || !sreqLow) {
629 data_pkt->dataStatic(load_inst->memData);
630 delay = TheISA::handleIprRead(thread, data_pkt);
631 } else {
632 assert(sreqLow->isMmappedIpr() && sreqHigh->isMmappedIpr());
633 PacketPtr fst_data_pkt = new Packet(sreqLow, MemCmd::ReadReq);
634 PacketPtr snd_data_pkt = new Packet(sreqHigh, MemCmd::ReadReq);
635
636 fst_data_pkt->dataStatic(load_inst->memData);
637 snd_data_pkt->dataStatic(load_inst->memData + sreqLow->getSize());
638
639 delay = TheISA::handleIprRead(thread, fst_data_pkt);
640 Cycles delay2 = TheISA::handleIprRead(thread, snd_data_pkt);
641 if (delay2 > delay)
642 delay = delay2;
643
644 delete sreqLow;
645 delete sreqHigh;
646 delete fst_data_pkt;
647 delete snd_data_pkt;
648 }
649 WritebackEvent *wb = new WritebackEvent(load_inst, data_pkt, this);
650 cpu->schedule(wb, cpu->clockEdge(delay));
651 return NoFault;
652 }
653
654 while (store_idx != -1) {
655 // End once we've reached the top of the LSQ
656 if (store_idx == storeWBIdx) {
657 break;
658 }
659
660 // Move the index to one younger
661 if (--store_idx < 0)
662 store_idx += SQEntries;
663
664 assert(storeQueue[store_idx].inst);
665
666 store_size = storeQueue[store_idx].size;
667
668 if (store_size == 0)
669 continue;
670 else if (storeQueue[store_idx].inst->uncacheable())
671 continue;
672
673 assert(storeQueue[store_idx].inst->effAddrValid());
674
675 // Check if the store data is within the lower and upper bounds of
676 // addresses that the request needs.
677 bool store_has_lower_limit =
678 req->getVaddr() >= storeQueue[store_idx].inst->effAddr;
679 bool store_has_upper_limit =
680 (req->getVaddr() + req->getSize()) <=
681 (storeQueue[store_idx].inst->effAddr + store_size);
682 bool lower_load_has_store_part =
683 req->getVaddr() < (storeQueue[store_idx].inst->effAddr +
684 store_size);
685 bool upper_load_has_store_part =
686 (req->getVaddr() + req->getSize()) >
687 storeQueue[store_idx].inst->effAddr;
688
689 // If the store's data has all of the data needed, we can forward.
690 if ((store_has_lower_limit && store_has_upper_limit)) {
691 // Get shift amount for offset into the store's data.
692 int shift_amt = req->getVaddr() - storeQueue[store_idx].inst->effAddr;
693
694 memcpy(data, storeQueue[store_idx].data + shift_amt,
695 req->getSize());
696
697 assert(!load_inst->memData);
698 load_inst->memData = new uint8_t[64];
699
700 memcpy(load_inst->memData,
701 storeQueue[store_idx].data + shift_amt, req->getSize());
702
703 DPRINTF(LSQUnit, "Forwarding from store idx %i to load to "
704 "addr %#x, data %#x\n",
705 store_idx, req->getVaddr(), data);
706
707 PacketPtr data_pkt = new Packet(req, MemCmd::ReadReq);
708 data_pkt->dataStatic(load_inst->memData);
709
710 WritebackEvent *wb = new WritebackEvent(load_inst, data_pkt, this);
711
712 // We'll say this has a 1 cycle load-store forwarding latency
713 // for now.
714 // @todo: Need to make this a parameter.
715 cpu->schedule(wb, curTick());
716
717 // Don't need to do anything special for split loads.
718 if (TheISA::HasUnalignedMemAcc && sreqLow) {
719 delete sreqLow;
720 delete sreqHigh;
721 }
722
723 ++lsqForwLoads;
724 return NoFault;
725 } else if ((store_has_lower_limit && lower_load_has_store_part) ||
726 (store_has_upper_limit && upper_load_has_store_part) ||
727 (lower_load_has_store_part && upper_load_has_store_part)) {
728 // This is the partial store-load forwarding case where a store
729 // has only part of the load's data.
730
731 // If it's already been written back, then don't worry about
732 // stalling on it.
733 if (storeQueue[store_idx].completed) {
734 panic("Should not check one of these");
735 continue;
736 }
737
738 // Must stall load and force it to retry, so long as it's the oldest
739 // load that needs to do so.
740 if (!stalled ||
741 (stalled &&
742 load_inst->seqNum <
743 loadQueue[stallingLoadIdx]->seqNum)) {
744 stalled = true;
745 stallingStoreIsn = storeQueue[store_idx].inst->seqNum;
746 stallingLoadIdx = load_idx;
747 }
748
749 // Tell IQ/mem dep unit that this instruction will need to be
750 // rescheduled eventually
751 iewStage->rescheduleMemInst(load_inst);
752 iewStage->decrWb(load_inst->seqNum);
753 load_inst->clearIssued();
754 ++lsqRescheduledLoads;
755
756 // Do not generate a writeback event as this instruction is not
757 // complete.
758 DPRINTF(LSQUnit, "Load-store forwarding mis-match. "
759 "Store idx %i to load addr %#x\n",
760 store_idx, req->getVaddr());
761
762 // Must delete request now that it wasn't handed off to
763 // memory. This is quite ugly. @todo: Figure out the
764 // proper place to really handle request deletes.
765 delete req;
766 if (TheISA::HasUnalignedMemAcc && sreqLow) {
767 delete sreqLow;
768 delete sreqHigh;
769 }
770
771 return NoFault;
772 }
773 }
774
775 // If there's no forwarding case, then go access memory
776 DPRINTF(LSQUnit, "Doing memory access for inst [sn:%lli] PC %s\n",
777 load_inst->seqNum, load_inst->pcState());
778
779 assert(!load_inst->memData);
780 load_inst->memData = new uint8_t[64];
781
782 ++usedPorts;
783
784 // if we the cache is not blocked, do cache access
785 bool completedFirst = false;
786 if (!lsq->cacheBlocked()) {
787 MemCmd command =
788 req->isLLSC() ? MemCmd::LoadLockedReq : MemCmd::ReadReq;
789 PacketPtr data_pkt = new Packet(req, command);
790 PacketPtr fst_data_pkt = NULL;
791 PacketPtr snd_data_pkt = NULL;
792
793 data_pkt->dataStatic(load_inst->memData);
794
795 LSQSenderState *state = new LSQSenderState;
796 state->isLoad = true;
797 state->idx = load_idx;
798 state->inst = load_inst;
799 data_pkt->senderState = state;
800
801 if (!TheISA::HasUnalignedMemAcc || !sreqLow) {
802
803 // Point the first packet at the main data packet.
804 fst_data_pkt = data_pkt;
805 } else {
806
807 // Create the split packets.
808 fst_data_pkt = new Packet(sreqLow, command);
809 snd_data_pkt = new Packet(sreqHigh, command);
810
811 fst_data_pkt->dataStatic(load_inst->memData);
812 snd_data_pkt->dataStatic(load_inst->memData + sreqLow->getSize());
813
814 fst_data_pkt->senderState = state;
815 snd_data_pkt->senderState = state;
816
817 state->isSplit = true;
818 state->outstanding = 2;
819 state->mainPkt = data_pkt;
820 }
821
822 if (!dcachePort->sendTimingReq(fst_data_pkt)) {
823 // Delete state and data packet because a load retry
824 // initiates a pipeline restart; it does not retry.
825 delete state;
826 delete data_pkt->req;
827 delete data_pkt;
828 if (TheISA::HasUnalignedMemAcc && sreqLow) {
829 delete fst_data_pkt->req;
830 delete fst_data_pkt;
831 delete snd_data_pkt->req;
832 delete snd_data_pkt;
833 sreqLow = NULL;
834 sreqHigh = NULL;
835 }
836
837 req = NULL;
838
839 // If the access didn't succeed, tell the LSQ by setting
840 // the retry thread id.
841 lsq->setRetryTid(lsqID);
842 } else if (TheISA::HasUnalignedMemAcc && sreqLow) {
843 completedFirst = true;
844
845 // The first packet was sent without problems, so send this one
846 // too. If there is a problem with this packet then the whole
847 // load will be squashed, so indicate this to the state object.
848 // The first packet will return in completeDataAccess and be
849 // handled there.
850 ++usedPorts;
851 if (!dcachePort->sendTimingReq(snd_data_pkt)) {
852
853 // The main packet will be deleted in completeDataAccess.
854 delete snd_data_pkt->req;
855 delete snd_data_pkt;
856
857 state->complete();
858
859 req = NULL;
860 sreqHigh = NULL;
861
862 lsq->setRetryTid(lsqID);
863 }
864 }
865 }
866
867 // If the cache was blocked, or has become blocked due to the access,
868 // handle it.
869 if (lsq->cacheBlocked()) {
870 if (req)
871 delete req;
872 if (TheISA::HasUnalignedMemAcc && sreqLow && !completedFirst) {
873 delete sreqLow;
874 delete sreqHigh;
875 }
876
877 ++lsqCacheBlocked;
878
879 // If the first part of a split access succeeds, then let the LSQ
880 // handle the decrWb when completeDataAccess is called upon return
881 // of the requested first part of data
882 if (!completedFirst)
883 iewStage->decrWb(load_inst->seqNum);
884
885 // There's an older load that's already going to squash.
886 if (isLoadBlocked && blockedLoadSeqNum < load_inst->seqNum)
887 return NoFault;
888
889 // Record that the load was blocked due to memory. This
890 // load will squash all instructions after it, be
891 // refetched, and re-executed.
892 isLoadBlocked = true;
893 loadBlockedHandled = false;
894 blockedLoadSeqNum = load_inst->seqNum;
895 // No fault occurred, even though the interface is blocked.
896 return NoFault;
897 }
898
899 return NoFault;
900}
901
902template <class Impl>
903Fault
904LSQUnit<Impl>::write(Request *req, Request *sreqLow, Request *sreqHigh,
905 uint8_t *data, int store_idx)
906{
907 assert(storeQueue[store_idx].inst);
908
909 DPRINTF(LSQUnit, "Doing write to store idx %i, addr %#x data %#x"
910 " | storeHead:%i [sn:%i]\n",
911 store_idx, req->getPaddr(), data, storeHead,
912 storeQueue[store_idx].inst->seqNum);
913
914 storeQueue[store_idx].req = req;
915 storeQueue[store_idx].sreqLow = sreqLow;
916 storeQueue[store_idx].sreqHigh = sreqHigh;
917 unsigned size = req->getSize();
918 storeQueue[store_idx].size = size;
919 assert(size <= sizeof(storeQueue[store_idx].data));
920
921 // Split stores can only occur in ISAs with unaligned memory accesses. If
922 // a store request has been split, sreqLow and sreqHigh will be non-null.
923 if (TheISA::HasUnalignedMemAcc && sreqLow) {
924 storeQueue[store_idx].isSplit = true;
925 }
926
927 memcpy(storeQueue[store_idx].data, data, size);
928
929 // This function only writes the data to the store queue, so no fault
930 // can happen here.
931 return NoFault;
932}
933
934#endif // __CPU_O3_LSQ_UNIT_HH__