56c56
< self.bridge = Bridge(fix_partial_write_b=True, delay='50ns', nack_delay='4ns')
---
> self.bridge = Bridge(delay='50ns', nack_delay='4ns')