64c64
< self.bridge = Bridge(fix_partial_write_b=True)
---
> self.bridge = Bridge(fix_partial_write_b=True, delay='50ns', nack_delay='4ns')
97c97
< self.bridge = Bridge()
---
> self.bridge = Bridge(fix_partial_write_b=True, delay='50ns', nack_delay='4ns')