Searched refs:program_counter (Results 1 - 1 of 1) sorted by relevance

/gem5/src/systemc/tests/systemc/misc/sim_tests/simple_cpu/
H A Dsimple_cpu.cpp48 sc_signal<unsigned>& program_counter; local
58 : program_counter(PROGRAM_COUNTER)
85 // Start off simulation by writing program_counter
86 program_counter.write(pc);
119 program_counter.write(pc);
127 program_counter.write(pc);
136 program_counter.write(pc);
145 program_counter.write(pc);
154 program_counter.write(pc);
168 program_counter
193 sc_in<unsigned> program_counter; local
205 sensitive << program_counter; local
[all...]

Completed in 4 milliseconds