Searched refs:bool_vector (Results 1 - 20 of 20) sorted by relevance

/gem5/src/systemc/tests/systemc/misc/sim/prime_do_while/
H A Dcommon.h43 typedef sc_bv<16> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddisplay.cpp46 bool_vector last_prime;
/gem5/src/systemc/tests/systemc/misc/synth/bubble/
H A Dcommon.h43 typedef sc_bv<8> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Dbubble.h110 bool_vector B[9];
111 bool_vector C[9];
/gem5/src/systemc/tests/systemc/misc/synth/circle/
H A Dcommon.h43 typedef sc_bv<8> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Dtb.h51 sc_in<bool_vector> coord_xy;
81 bool_vector x_coord;
82 bool_vector y_coord;
H A Dcirc48.h51 sc_out<bool_vector> out_xy;
52 sc_out<bool_vector> diffs;
/gem5/src/systemc/tests/systemc/misc/synth/prime_flag/
H A Dcommon.h43 typedef sc_bv<16> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddisplay.cpp46 bool_vector last_prime;
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/lost_carry/
H A Dcommon.h43 typedef sc_bv<6> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddatawidth.cpp47 bool_vector tmp_a;
48 bool_vector tmp_b;
49 bool_vector tmp_result;
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_unsigned/lost_carry/
H A Dcommon.h43 typedef sc_bv<6> bool_vector; typedef
45 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddatawidth.cpp47 bool_vector tmp_a;
48 bool_vector tmp_b;
49 bool_vector tmp_result;
/gem5/src/systemc/tests/systemc/misc/unit/data/general/int_to_bits/
H A Dcommon.h43 typedef sc_bv<7> bool_vector; typedef
44 typedef sc_signal<bool_vector> sc_signal_bool_vector;
H A Ddatawidth.cpp49 bool_vector tmp_result;
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_infinity/
H A Dcommon.h43 typedef sc_bv<16> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddisplay.cpp46 bool_vector tmp;
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_time/
H A Dcommon.h43 typedef sc_bv<16> bool_vector; typedef
44 typedef sc_signal<bool_vector> signal_bool_vector;
H A Ddisplay.cpp46 bool_vector tmp;
/gem5/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_vector_datatype/
H A Dstd_ulogic_vector_datatype.cpp45 #define bool_vector sc_bv macro
173 // std_ulogic_vector <- bool_vector
175 bool_vector<4> sv1;
181 cout << "std_ulogic_vector \t<=\t bool_vector"

Completed in 17 milliseconds