Searched refs:VcdTraceFile (Results 1 - 3 of 3) sorted by relevance

/gem5/src/systemc/utils/
H A Dvcd.cc206 VcdTraceFile::nextSignalName()
224 VcdTraceFile::initialize()
273 VcdTraceFile::~VcdTraceFile()
284 VcdTraceFile::trace(bool delta)
338 VcdTraceFile::addTraceVal(const bool *v, const std::string &name)
359 VcdTraceFile::addTraceVal(const float *v, const std::string &name)
364 VcdTraceFile::addTraceVal(const double *v, const std::string &name)
386 VcdTraceFile::addTraceVal(const sc_dt::sc_logic *v, const std::string &name)
419 VcdTraceFile
[all...]
H A Dvcd.hh53 class VcdTraceFile : public TraceFile class in namespace:sc_gem5
70 VcdTraceFile(const std::string &name) : function in class:sc_gem5::VcdTraceFile
78 ~VcdTraceFile();
H A Dsc_trace_file.cc57 auto tf = new ::sc_gem5::VcdTraceFile(name);

Completed in 14 milliseconds