Searched refs:P1 (Results 1 - 25 of 39) sorted by relevance

12

/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test03/
H A Dtest03.cpp95 proc1 P1("P1", clock);
98 sc_trace(tf, P1.obj1, "Char", 4);
99 sc_trace(tf, P1.obj2, "Short", 4);
100 sc_trace(tf, P1.obj3, "Int", 12);
101 sc_trace(tf, P1.obj4, "Long", 10);
102 sc_trace(tf, P1.obj5, "Uint64", 43);
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test04/
H A Dtest04.cpp94 proc1 P1("P1", clock);
97 sc_trace(tf, P1.obj1, "Char");
98 sc_trace(tf, P1.obj2, "Short");
99 sc_trace(tf, P1.obj3, "Int");
100 sc_trace(tf, P1.obj4, "Long");
101 sc_trace(tf, P1.obj5, "Int64");
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test05/
H A Dtest05.cpp95 proc1 P1("P1", clock);
98 sc_trace(tf, P1.obj1, "Char", 4);
99 sc_trace(tf, P1.obj2, "Short", 12);
100 sc_trace(tf, P1.obj3, "Int", 14);
101 sc_trace(tf, P1.obj4, "Long", 14);
102 sc_trace(tf, P1.obj5, "Int64", 44);
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test03/
H A Dtest03.cpp95 proc1 P1("P1", clock);
98 sc_trace(tf, P1.obj1, "Char", 4);
99 sc_trace(tf, P1.obj2, "Short", 4);
100 sc_trace(tf, P1.obj3, "Int", 12);
101 sc_trace(tf, P1.obj4, "Long", 10);
102 sc_trace(tf, P1.obj5, "Uint64", 43);
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test04/
H A Dtest04.cpp94 proc1 P1("P1", clock);
97 sc_trace(tf, P1.obj1, "Char");
98 sc_trace(tf, P1.obj2, "Short");
99 sc_trace(tf, P1.obj3, "Int");
100 sc_trace(tf, P1.obj4, "Long");
101 sc_trace(tf, P1.obj5, "Int64");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test05/
H A Dtest05.cpp95 proc1 P1("P1", clock);
98 sc_trace(tf, P1.obj1, "Char", 4);
99 sc_trace(tf, P1.obj2, "Short", 12);
100 sc_trace(tf, P1.obj3, "Int", 14);
101 sc_trace(tf, P1.obj4, "Long", 14);
102 sc_trace(tf, P1.obj5, "Int64", 44);
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test01/
H A Dtest01.cpp97 proc1 P1("P1", clock);
101 sc_trace(tf, P1.obj1, "Bool");
102 sc_trace(tf, P1.obj2, "SC_Logic");
103 sc_trace(tf, P1.obj3, "SC_BV");
104 sc_trace(tf, P1.obj4, "SC_LV");
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test02/
H A Dtest02.cpp89 proc1 P1("P1", clock);
92 sc_trace(tf, P1.obj1, "Char");
93 sc_trace(tf, P1.obj2, "Short");
94 sc_trace(tf, P1.obj3, "Int");
95 sc_trace(tf, P1.obj4, "Long");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test01/
H A Dtest01.cpp97 proc1 P1("P1", clock);
102 sc_trace(tf, P1.obj1, "Bool");
103 sc_trace(tf, P1.obj2, "SC_Logic");
104 sc_trace(tf, P1.obj3, "SC_BV");
105 sc_trace(tf, P1.obj4, "SC_LV");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test02/
H A Dtest02.cpp89 proc1 P1("P1", clock);
92 sc_trace(tf, P1.obj1, "Char");
93 sc_trace(tf, P1.obj2, "Short");
94 sc_trace(tf, P1.obj3, "Int");
95 sc_trace(tf, P1.obj4, "Long");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test11/
H A Dtest11.cpp90 proc1 P1("P1", clock);
94 sc_trace(tf, P1.obj1, "Bool");
95 sc_trace(tf, P1.obj2, "SC_Logic");
96 sc_trace(tf, P1.obj3, "SC_BV");
97 sc_trace(tf, P1.obj4, "SC_LV");
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test06/
H A Dtest06.cpp81 proc1 P1("P1", clock);
84 sc_trace(tf, P1.obj1, "Float");
85 sc_trace(tf, P1.obj2, "Double");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test06/
H A Dtest06.cpp81 proc1 P1("P1", clock);
84 sc_trace(tf, P1.obj1, "Float");
85 sc_trace(tf, P1.obj2, "Double");
/gem5/src/systemc/tests/systemc/misc/communication/signals/bool/
H A Dmain.cpp52 proc1 P1( "P1", clk, ack, ready );
/gem5/src/systemc/tests/systemc/misc/communication/signals/float/
H A Dmain.cpp52 proc1 P1( "P1", clk, ack, ready );
/gem5/src/systemc/tests/systemc/misc/communication/signals/int/
H A Dmain.cpp52 proc1 P1( "P1", clk, ack, ready );
/gem5/src/systemc/tests/systemc/misc/communication/signals/unsigned/
H A Dmain.cpp52 proc1 P1( "P1", clk, ack, ready );
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test10/
H A Dtest10.cpp91 proc1 P1("P1", clock);
94 sc_trace(tf, P1.obj1, "Enum", (const char **) enum_literals);
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test10/
H A Dtest10.cpp93 proc1 P1("P1", clock);
96 sc_trace(tf, P1.obj1, "Enum", (const char **) enum_literals);
/gem5/src/systemc/tests/systemc/communication/sc_export/test03/
H A Dtest03.cpp61 sc_port<C_if> P1; local
68 P1->run();
78 the_X.P1( the_E.IFP1 );
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test07/
H A Dtest07.cpp94 proc1 P1("P1", clock, bv, sv);
97 sc_trace(tf, P1.obj1, "Signed");
98 sc_trace(tf, P1.obj2, "Unsigned");
/gem5/src/systemc/tests/systemc/tracing/vcd_trace/test12/
H A Dtest12.cpp94 proc1 P1("P1", clock, bv, sv);
97 sc_trace(tf, P1.obj1, "Signed");
98 sc_trace(tf, P1.obj2, "Unsigned");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test07/
H A Dtest07.cpp94 proc1 P1("P1", clock, bv, sv);
97 sc_trace(tf, P1.obj1, "Signed");
98 sc_trace(tf, P1.obj2, "Unsigned");
/gem5/src/systemc/tests/systemc/tracing/wif_trace/test12/
H A Dtest12.cpp94 proc1 P1("P1", clock, bv, sv);
97 sc_trace(tf, P1.obj1, "Signed");
98 sc_trace(tf, P1.obj2, "Unsigned");
/gem5/src/systemc/tests/systemc/misc/communication/reslv/test1/
H A Dtest1.cpp64 cout << "P1: Set to 1" << endl;
68 cout << "P1: Set to Z" << endl;
134 proc1 P1("P1", Bus, clock);

Completed in 11 milliseconds

12