Searched defs:TICK (Results 1 - 25 of 104) sorted by relevance

12345

/gem5/src/systemc/tests/systemc/misc/sim/prime_do_while/
H A Ddisplay.h54 displayp( sc_module_name NAME, sc_clock& TICK, const signal_bool_vector& PRIME ) argument
H A Dreset.h54 resetp(sc_module_name NAME, sc_clock& TICK, sc_signal<bool>& RESET ) argument
H A Dprime_numgen.h56 prime_numgen(sc_module_name NAME, sc_clock& TICK, const sc_signal<bool>& RESET, signal_bool_vector& PRIME ) argument
/gem5/src/systemc/tests/systemc/misc/synth/prime_flag/
H A Dreset.h54 resetp(sc_module_name NAME, sc_clock& TICK, sc_signal<bool>& RESET ) argument
H A Ddisplay.h55 displayp(sc_module_name NAME, sc_clock& TICK, const sc_signal<bool>& PRIME_READY, const signal_bool_vector& PRIME ) argument
H A Dprime_numgen.h57 prime_numgen(sc_module_name NAME, sc_clock& TICK, const sc_signal<bool>& RESET, sc_signal<bool>& PRIME_READY, signal_bool_vector& PRIME ) argument
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_infinity/
H A Ddisplay.h54 displayp(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector& PRIME ) argument
/gem5/src/systemc/tests/systemc/misc/unit/methodology/sim_control/sim_to_time/
H A Ddisplay.h54 displayp(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector& PRIME ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/general/concat_port/
H A Dconcat_port.h61 concat_port(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector8& A, const signal_bool_vector8& B, const sc_signal<int>& MODE, const sc_signal<bool>& READY, signal_bool_vector8& C, signal_bool_vector16& D, sc_signal<bool>& DONE ) argument
H A Dstimgen.h61 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector8& C, const signal_bool_vector16& D, const sc_signal<bool>& DONE, signal_bool_vector8& A, signal_bool_vector8& B, sc_signal<int>& MODE, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/user_guide/chpt4.4/
H A Dstage1_2.h48 stage1_2(sc_module_name NAME, sc_clock& TICK, const sc_signal<double>& IN1, const sc_signal<double>& IN2, sc_signal<double>& PROD, sc_signal<double>& QUOT) argument
/gem5/src/systemc/tests/systemc/misc/examples/datawidth_int_c/
H A Ddatawidth.h58 datawidth(sc_module_name NAME, sc_clock& TICK, const sc_signal<int>& IN1, const sc_signal<int>& IN2, const sc_signal<bool>& READY, sc_signal<int>& RESULT ) argument
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const sc_signal<int>& RESULT, sc_signal<int>& IN1, sc_signal<int>& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/examples/datawidth_int_file/
H A Ddatawidth.h58 datawidth(sc_module_name NAME, sc_clock& TICK, const sc_signal<int>& IN1, const sc_signal<int>& IN2, const sc_signal<bool>& READY, sc_signal<int>& RESULT ) argument
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const sc_signal<int>& RESULT, sc_signal<int>& IN1, sc_signal<int>& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/synth/add_chain_FUNC/
H A Dadd_chain.cpp118 f_add_chain( const char* NAME, sc_clock& TICK, const sc_signal<bool>& RST, const signal_bool_vector8& A_IN, signal_bool_vector4& SUM_OUT, sc_signal<bool>& READY ) argument
H A Ddata_gen.cpp106 f_DATA_GEN( const char* NAME, sc_clock& TICK, const sc_signal<bool>& READY, signal_bool_vector8& DATA, sc_signal<int>& ADDR ) argument
H A Dreset_stim.cpp114 f_RESET_STIM( const char* NAME, sc_clock& TICK, sc_signal<bool>& READY, sc_signal<bool>& RESET, sc_signal<int>& ADDR ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/bits_to_bits/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector7& RESULT, signal_bool_vector6& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/extension/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector9& RESULT, signal_bool_vector6& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/lost_carry/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector& RESULT, signal_bool_vector& IN1, signal_bool_vector& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/promote/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector7& RESULT, signal_bool_vector4& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/promote_extension/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector9& RESULT, signal_bool_vector4& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/promote_lost_carry/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector6& RESULT, signal_bool_vector4& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument
/gem5/src/systemc/tests/systemc/misc/unit/data/datawidth_bool_to_signed/promote_truncation/
H A Dstimgen.h58 stimgen(sc_module_name NAME, sc_clock& TICK, const signal_bool_vector4& RESULT, signal_bool_vector4& IN1, signal_bool_vector6& IN2, sc_signal<bool>& READY ) argument

Completed in 23 milliseconds

12345