Searched defs:CLOCK2 (Results 1 - 3 of 3) sorted by relevance

/gem5/src/systemc/tests/systemc/misc/user_guide/newsched/test6/
H A Dtest6.cpp83 watcher(sc_module_name NAME, sc_signal_in_if<bool>& CLOCK1, sc_signal_in_if<bool>& CLOCK2, const sc_signal<int>& IN1, const sc_signal<int>& IN2, const sc_signal<int>& IN3, const sc_signal<int>& IN4) argument
/gem5/src/systemc/tests/systemc/misc/user_guide/newsched/test7/
H A Dtest7.cpp83 watcher(sc_module_name NAME, sc_signal_in_if<bool>& CLOCK1, sc_signal_in_if<bool>& CLOCK2, const sc_signal<int>& IN1, const sc_signal<int>& IN2, const sc_signal<int>& IN3, const sc_signal<int>& IN4) argument
/gem5/src/systemc/tests/systemc/misc/user_guide/newsched/test8/
H A Dtest8.cpp92 watcher(sc_module_name NAME, sc_signal_in_if<bool>& CLOCK1, sc_signal_in_if<bool>& CLOCK2, const sc_signal<int>& IN1, const sc_signal<int>& IN2, const sc_signal<int>& IN3, const sc_signal<int>& IN4) argument

Completed in 7 milliseconds