Searched defs:CLK (Results 101 - 125 of 451) sorted by relevance

1234567891011>>

/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/if_test/datatypes/
H A Dstimulus.h54 stimulus(sc_module_name NAME, sc_clock& CLK, sc_signal<bool>& RESET, sc_signal_bool_vector& STIM1, sc_signal_bool_vector& STIM2, sc_signal_bool_vector& STIM3, sc_signal_bool_vector& STIM4, sc_signal<bool>& INPUT_VALID ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/if_test/fsm/
H A Ddisplay.h54 display( sc_module_name NAME, sc_clock& CLK, const sc_signal_bool_vector& IN_DATA1, const sc_signal_bool_vector& IN_DATA2, const sc_signal_bool_vector& IN_DATA3, const sc_signal<bool>& IN_VALID1, const sc_signal<bool>& IN_VALID2, const sc_signal<bool>& IN_VALID3 ) argument
H A Dstimulus.h53 stimulus(sc_module_name NAME, sc_clock& CLK, sc_signal<bool>& RESET, sc_signal_bool_vector& STIM1, sc_signal_bool_vector& STIM2, sc_signal_bool_vector& STIM3, sc_signal<bool>& INPUT_VALID ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/if_test/if_test/
H A Ddisplay.h54 display( sc_module_name NAME, sc_clock& CLK, const sc_signal_bool_vector& IN_DATA1, const sc_signal_bool_vector& IN_DATA2, const sc_signal_bool_vector& IN_DATA3, const sc_signal_bool_vector& IN_DATA4, const sc_signal<int>& IN_DATA5, const sc_signal<bool>& IN_VALID ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/if_test/inlining/
H A Dstimulus.h54 stimulus(sc_module_name NAME, sc_clock& CLK, sc_signal<bool>& RESET, sc_signal_bool_vector& STIM1, sc_signal_bool_vector& STIM2, sc_signal_bool_vector& STIM3, sc_signal_bool_vector& STIM4, sc_signal<bool>& INPUT_VALID ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_datatypes/
H A Dfor_datatypes.h53 for_datatypes( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_exit/
H A Dfor_exit.h53 for_exit( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/for_fsm/
H A Dfor_fsm.h53 for_fsm( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/while_datatypes/
H A Dwhile_datatypes.h53 while_datatypes( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/while_exit/
H A Dwhile_exit.h53 while_exit( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/cae_test/general/control/loop/while_fsm/
H A Dwhile_fsm.h53 while_fsm( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& RESET, const sc_signal<bool>& IN_VALID, const sc_signal<int>& IN_VALUE, sc_signal<bool>& OUT_VALID, sc_signal<int>& RESULT ) argument
/gem5/src/systemc/tests/systemc/misc/communication/channel/aggregate/
H A Drgb.h64 some_process( sc_module_name NAME, sc_clock& CLK, sc_fifo<rgb_t>& COLOR_IN, sc_fifo<rgb_t>& COLOR_OUT ) argument
/gem5/src/systemc/tests/systemc/misc/gnats/pr-134/
H A Dpr-134.cpp49 arst( sc_module_name NAME, sc_clock& CLK, const sc_signal<char>& A, sc_signal<char>& B ) argument
/gem5/src/systemc/tests/systemc/misc/gnats/pr57/
H A Dpr57.cpp52 pr57( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& A, sc_signal<bool>& B ) argument
/gem5/src/systemc/tests/systemc/misc/parsing/T_1_1_2_3/
H A DT_1_1_2_3.cpp51 foo( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& INPUT, sc_signal<bool>& OUTPUT ) argument
/gem5/src/systemc/tests/systemc/misc/parsing/T_1_1_2_6/
H A DT_1_1_2_6.cpp49 inverter( sc_module_name NAME, sc_clock& CLK, const sc_signal<bool>& INPUT, sc_signal<bool>& OUTPUT ) argument
68 foo(const char* NAME, sc_clock& CLK, const sc_signal<bool>& input, sc_signal<bool>& output ) argument
/gem5/src/systemc/tests/systemc/misc/semantic/2.5/
H A DT_2_5.h57 MYNAME( sc_module_name NAME, sc_clock& CLK, const signal_vector& X, const signal_vector& Y, signal_vector& Z ) argument
/gem5/src/systemc/tests/systemc/misc/sim_tests/async_clock/
H A Dasync_clock.cpp117 proc4( sc_module_name NAME, sc_signal<bool>& A, sc_signal<bool>& B, sc_signal_in_if<bool>& CLK, sc_signal_out_if<bool>& C, sc_signal_out_if<bool>& D ) argument
/gem5/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad1/
H A Dbiquad.h58 biquad( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& IN1, sc_signal<bool>& RESET, sc_signal<float>& OUT1 ) argument
H A Dtestbench.h54 testbench( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& RESULT, sc_signal<bool>& RESET, sc_signal<float>& SAMPLE ) argument
/gem5/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad2/
H A Dbiquad.h58 biquad( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& IN1, sc_signal<bool>& RESET, sc_signal<float>& OUT1 ) argument
H A Ddelay_line.h56 delay_line( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& IN1, sc_signal<float>& OUT1, int DELAY=4 ) argument
H A Dop_queue.h57 op_queue( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& IN1, sc_signal<bool>& POP, sc_signal<float>& OUT1, int QUEUE_SIZE = 4 ) argument
H A Dtestbench.h54 testbench( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& RESULT, sc_signal<bool>& RESET, sc_signal<float>& SAMPLE ) argument
/gem5/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/
H A Dtestbench.h54 testbench( sc_module_name NAME, sc_clock& CLK, sc_signal<float>& RESULT, sc_signal<bool>& RESET, sc_signal<float>& SAMPLE ) argument

Completed in 18 milliseconds

1234567891011>>