Searched defs:AddRoundKey (Results 1 - 1 of 1) sorted by relevance

/gem5/src/systemc/tests/systemc/examples/aes/
H A Daes.cpp142 AES_Base::AddRoundKey(sc_uint<8> a[4][4], const sc_uint<8> rk[4][4]) function in class:AES_Base
[all...]

Completed in 8 milliseconds