SystemC Simulation Stimuli : 1 1 1 1 1 1 at 23 ns Display : 0010 0000 000100 000100 00000001 00000010 at 27 ns Stimuli : 3 3 3 3 3 3 at 44 ns Display : 1000 0000 000000 000000 00000011 00011000 at 48 ns Stimuli : 5 5 5 5 5 5 at 65 ns Display : 0000 0000 000000 000000 00000101 10100000 at 69 ns Stimuli : 7 7 7 7 7 7 at 86 ns Display : 0000 0000 000000 000000 00000111 10000000 at 90 ns Stimuli : -7 9 9 9 9 9 at 107 ns Display : 0000 1001 000000 001001 00001001 00000000 at 111 ns Stimuli : -5 11 11 11 11 11 at 128 ns Display : 0000 1011 000000 001011 00001011 00000000 at 132 ns Stimuli : -3 13 13 13 13 13 at 149 ns Display : 0000 1101 000000 001101 00001101 00000000 at 153 ns Stimuli : -1 15 15 15 15 15 at 170 ns Display : 0000 1111 000000 001111 00001111 00000000 at 174 ns Stimuli : 1 1 17 17 17 17 at 191 ns Display : 0010 0001 000100 010001 00010001 00000000 at 195 ns Stimuli : 3 3 19 19 19 19 at 212 ns Display : 1000 0011 000000 010011 00010011 00000000 at 216 ns Stimuli : 5 5 21 21 21 21 at 233 ns Display : 0000 0101 000000 010101 00010101 00000000 at 237 ns Stimuli : 7 7 23 23 23 23 at 254 ns Display : 0000 0111 000000 010111 00010111 00000000 at 258 ns Info: /OSCI/SystemC: Simulation stopped by user.